aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes
Commit message (Collapse)AuthorAgeFilesLines
* Added test for dynamic indexing within struct membersDag Lem2023-03-082-0/+71
|
* Index struct/union members within corresponding wire chunksDag Lem2023-03-051-1/+2
| | | | | This guards against access to bits outside of struct/union members via dynamic indexing.
* Out of bounds checking for struct/union membersDag Lem2023-02-191-0/+3
| | | | Currently, only constant indices are checked.
* Corrected tests for data and array queries on struct/union item expressionsDag Lem2023-02-151-80/+85
|
* Support for data and array queries on struct/union item expressionsDag Lem2023-02-151-0/+107
| | | | For now, $bits, $left, $right, $low, $high, and $size are supported.
* Handle range offsets in packed arrays within packed structsDag Lem2023-02-051-0/+20
| | | | | | | This brings the metadata for packed arrays in packed structs in line with the metadata for unpacked arrays, and correctly handles the case when both lsb and msb in an address range are non-zero.
* Resolve struct member package typesDag Lem2023-01-291-0/+4
|
* Handle struct members of union type (#3641)Dag Lem2023-01-291-0/+16
|
* Support for packed multidimensional arrays within packed structsDag Lem2022-12-031-0/+60
|
* Tests for unpacked arrays in packed structs are for the Yosys frontend onlyDag Lem2022-11-231-0/+4
|
* Support for swapped ranges in second array dimensionDag Lem2022-11-231-0/+42
|
* Support for arrays with swapped ranges within structsDag Lem2022-11-121-1/+86
| | | | | | This also corrects the implementation of C type arrays within structs. Fixes #3550
* sv: improve support for wire and var with user-defined typesBrett Witherspoon2021-08-122-0/+108
| | | | | | | | | | | | | | | | | - User-defined types must be data types. Using a net type (e.g. wire) is a syntax error. - User-defined types without a net type are always variables (i.e. logic). - Nets and variables can now be explicitly declared using user-defined types: typedef logic [1:0] W; wire W w; typedef logic [1:0] V; var V v; Fixes #2846
* Add v2 memory cells.Marcelina Koƛcielnicka2021-08-113-3/+3
|
* verilog: check entire user type stack for type definitionXiretza2021-03-211-0/+10
|
* Add typedef input/output testKamil Rakoczy2021-01-182-0/+117
| | | | Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
* tests: Centralize test collection and Makefile generationXiretza2020-09-211-19/+3
|
* Merge pull request #2329 from antmicro/arrays-fix-multirange-sizeclairexen2020-09-171-0/+16
|\ | | | | Rewrite multirange arrays sizes [n] as [n-1:0]
| * Test multirange (unpacked) arrays sizeLukasz Dalek2020-08-031-0/+16
| | | | | | | | Signed-off-by: Lukasz Dalek <ldalek@antmicro.com>
* | Merge pull request #2330 from antmicro/arrays-fix-multirange-accessclairexen2020-09-171-0/+12
|\ \ | | | | | | Fix unsupported subarray access detection
| * | Add test for subarray access on multidimensional arraysLukasz Dalek2020-08-031-0/+12
| |/ | | | | | | Signed-off-by: Lukasz Dalek <ldalek@antmicro.com>
* | Merge pull request #2122 from PeterCrozier/struct_array2clairexen2020-08-191-1/+21
|\ \ | |/ |/| Support 2D bit arrays in structures. Optimise array indexing.
| * include both power-of-two and non-power-of-two testcasesN. Engelhardt2020-08-181-6/+23
| |
| * Support 2D bit arrays in structures. Optimise array indexing.Peter Crozier2020-06-081-2/+5
| |
* | static cast: add testsKazuki Sakamoto2020-06-195-0/+80
|/
* Support packed arrays in struct/union.Peter Crozier2020-06-071-0/+22
|
* Merge branch 'master' into structPeter Crozier2020-06-032-0/+9
|\
| * ast/simplify: don't bitblast async ROMs declared as `logic`.whitequark2020-05-052-0/+9
| | | | | | | | Fixes #2020.
* | Allow structs within structs.Peter Crozier2020-05-122-0/+20
| |
* | Generalise structs and add support for packed unions.Peter Crozier2020-05-121-0/+61
| |
* | Implement SV structs.Peter Crozier2020-05-082-0/+81
|/
* support using previously declared types/localparams/params in packageJeff Wang2020-04-071-3/+8
| | | | | | | (parameters in systemverilog packages can't actually be overridden, so allowing parameters in addition to localparams doesn't actually add any new functionality, but it's useful to be able to use the parameter keyword also)
* Support module/package/interface/block scope for typedef names.Peter Crozier2020-03-231-0/+7
|
* Revert typedef tests to standard grammar.Peter2020-03-227-25/+26
|
* add attributes for enumerated values in ilangJeff Wang2020-02-172-3/+3
| | | | | | - information also useful for strongly-typed enums (not implemented) - resolves enum values in ilang part of #1594 - still need to output enums to VCD (or better yet FST) files
* scoped enum testsJeff Wang2020-01-161-1/+13
|
* enum in package testJeff Wang2020-01-161-0/+3
|
* simple enum testJeff Wang2020-01-162-0/+52
|
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-148-19/+19
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* sv: Improve testsDavid Shah2019-10-038-7/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Add test scripts for typedefsDavid Shah2019-10-034-0/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Add support for memories of a typedefDavid Shah2019-10-031-0/+10
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Add support for memory typedefsDavid Shah2019-10-031-0/+10
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Fix typedefs in packagesDavid Shah2019-10-031-0/+11
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Fix typedef parametersDavid Shah2019-10-032-3/+22
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Switch parser to glr, prep for typedefDavid Shah2019-10-031-0/+22
Signed-off-by: David Shah <dave@ds0.me>