aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Collapse)AuthorAgeFilesLines
* Merge pull request #3734 from jix/fix_unbased_unsized_constHEADmasterJannis Harder2023-04-242-0/+35
|\ | | | | verilog: Fix const eval of unbased unsized constants
| * verilog: Fix const eval of unbased unsized constantsJannis Harder2023-04-202-0/+35
| | | | | | | | | | | | | | | | | | | | | | | | | | | | When the verilog frontend perfomed constant evaluation of unbased unsized constants in a context-determined expression it did not properly extend them by repeating the bit value. This only affected constant evaluation and not constants that made it through unchanged to RTLIL. The latter case was already covered by tests and working before. This fixes the const-eval issue by checking the `is_unsized` flag in bitsAsConst and extending the value accordingly. The newly added test also tests the already working non-const-eval case to highlight that both cases should behave the same.
* | ABC9: Cell Port Bug Patch (#3670)Benjamin Barzen2023-04-222-0/+16
|/ | | | | | | | | | | | | | | | | * ABC9: RAMB36E1 Bug Patch * Add simplified testcase * Also fix xaiger writer for under-width output ports * Remove old testcase * Missing top-level input port * Fix tabs --------- Co-authored-by: Eddie Hung <eddie@fpgeh.com>
* add additional dff and lutram testsMiodrag Milanovic2023-04-062-0/+57
|
* add test for CCU2DMiodrag Milanovic2023-04-061-0/+10
|
* verilog: Support void functionsJannis Harder2023-03-201-0/+37
| | | | | | | The difference between void functions and tasks is that always_comb's implicit sensitivity list behaves as if functions were inlined, but ignores signals read only in tasks. This only matters for event based simulation, and for synthesis we can treat a void function like a task.
* Update testsMiodrag Milanovic2023-03-207-16/+16
|
* verific: Fix enum_values support and signed attribute valuesJannis Harder2023-03-152-0/+56
| | | | | | This uses the same constant parsing for enum_values and for attributes and extends it to handle signed values as those are used for enums that implicitly use the int type.
* Merge pull request #3682 from daglem/struct-member-out-of-boundsJannis Harder2023-03-104-1/+76
|\ | | | | Out of bounds checking for struct/union members
| * Added test for dynamic indexing within struct membersDag Lem2023-03-082-0/+71
| |
| * Index struct/union members within corresponding wire chunksDag Lem2023-03-051-1/+2
| | | | | | | | | | This guards against access to bits outside of struct/union members via dynamic indexing.
| * Out of bounds checking for struct/union membersDag Lem2023-02-192-1/+4
| | | | | | | | Currently, only constant indices are checked.
* | Merge pull request #3646 from YosysHQ/lofty/fix-3591Miodrag Milanović2023-02-271-0/+40
|\ \ | | | | | | muxcover: do not add decode muxes with x inputs
| * | muxcover: do not add decode muxes with x inputsLofty2023-01-261-0/+40
| | |
* | | Merge pull request #3674 from YosysHQ/fix_wide_caseN. Engelhardt2023-02-276-0/+78
|\ \ \
| * | | Added ranged case checkMiodrag Milanovic2023-02-272-0/+27
| | | |
| * | | Add test exampleMiodrag Milanovic2023-02-274-0/+51
| | | |
* | | | fabulous: Add support for mapping carry chainsgatecat2023-02-271-0/+9
|/ / / | | | | | | | | | Signed-off-by: gatecat <gatecat@ds0.me>
* | | Genericising bug1836.ysKrystalDelusion2023-02-211-20/+12
| | |
* | | bug3205.ys removedKrystalDelusion2023-02-211-57/+0
| | | | | | | | | | | | Made redundant by TDP test(s) in memories.ys
* | | Removing extra `default_nettype` linesKrystalDelusion2023-02-211-2/+0
| | |
* | | Fix for sync_ram_sdp not being final moduleKrystalDelusion2023-02-211-1/+1
| | | | | | | | | | | | Explicitly declare -top in synth_intel_alm.
* | | More tests in memlib/generate.pyKrystalDelusion2023-02-2113-12/+1180
| | | | | | | | | | | | Covers most of the todo list, at least functionally. Some minor issues with not always using hardware features.
* | | Tests for ram_style = "huge"KrystalDelusion2023-02-214-0/+219
| | | | | | | | | | | | iCE40 SPRAM and Xilinx URAM
* | | Testing TDP synth mappingKrystalDelusion2023-02-213-0/+49
| | | | | | | | | | | | | | | New common sync_ram_tdp. Used in ecp5 and gatemate mem*.ys.
* | | Asymmetric port ram tests with XilinxKrystalDelusion2023-02-213-0/+193
| | | | | | | | | | | | Uses verilog code from User Guide 901 (2021.1)
* | | Addings tests for #1836 and #3205KrystalDelusion2023-02-213-0/+120
| |/ |/|
* | Merge pull request #3675 from daglem/struct-item-queriesJannis Harder2023-02-151-0/+112
|\ \ | | | | | | Support for data and array queries on struct/union item expressions
| * | Corrected tests for data and array queries on struct/union item expressionsDag Lem2023-02-151-80/+85
| | |
| * | Support for data and array queries on struct/union item expressionsDag Lem2023-02-151-0/+107
| | | | | | | | | | | | For now, $bits, $left, $right, $low, $high, and $size are supported.
* | | Merge pull request #3671 from zachjs/masterJannis Harder2023-02-152-0/+16
|\ \ \ | |/ / |/| | Add test for typenames using constants shadowed later on
| * | Add test for typenames using constants shadowed later onZachary Snow2023-02-122-0/+16
| | | | | | | | | | | | | | | This possible edge case came up while reviewing #3555. It is currently handled correctly, but there is no clear test coverage.
* | | Merge pull request #3661 from daglem/struct-array-range-offsetJannis Harder2023-02-151-0/+20
|\ \ \ | | | | | | | | Handle range offsets in packed arrays within packed structs
| * | | Handle range offsets in packed arrays within packed structsDag Lem2023-02-051-0/+20
| | | | | | | | | | | | | | | | | | | | | | | | | | | | This brings the metadata for packed arrays in packed structs in line with the metadata for unpacked arrays, and correctly handles the case when both lsb and msb in an address range are non-zero.
* | | | Merge pull request #2995 from georgerennie/cover_precondJannis Harder2023-02-141-0/+25
|\ \ \ \ | | | | | | | | | | chformal: Add -coverenable option
| * | | | chformal: Rename -coverprecond to -coverenableGeorge Rennie2022-06-181-3/+3
| | | | |
| * | | | chformal: Test -coverprecond and reuse the src attributeJannis Harder2022-06-181-0/+25
| | | | |
* | | | | Merge pull request #3126 from georgerennie/equiv_make_assertionsJannis Harder2023-02-141-0/+32
|\ \ \ \ \ | | | | | | | | | | | | equiv_make: Add -make_assert option
| * | | | | equiv_make: Add -make_assert optionGeorge Rennie2022-06-241-0/+32
| |/ / / / | | | | | | | | | | | | | | | | | | | | This adds a -make_assert flag to equiv_make. When used, the pass generates $eqx and $assert cells to encode equivalence instead of $equiv.
* | | | | Merge pull request #3669 from jix/fix-xprop-tests-yosys-callJannis Harder2023-02-132-51/+60
|\ \ \ \ \ | | | | | | | | | | | | tests: Fix path of yosys invocation in xprop tests
| * | | | | xprop tests: Make iverilog invocation more portableJannis Harder2023-02-131-3/+3
| | | | | |
| * | | | | xprop: Test fixes and abort on test failureJannis Harder2023-02-132-3/+3
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Use `$finish(0)` to silently exit even when using recent iverlog versions. Run `write_verilog -noexpr` before `write_verilog` as the latter can modify the design. This also enables checking the tests results, as xprop should be in a state where the existing tests pass.
| * | | | | xprop: Smaller subset of tests to run by defaultJannis Harder2023-02-131-44/+53
| | | | | |
| * | | | | tests: Fix path of yosys invocation in xprop testsJannis Harder2023-02-101-1/+1
| | |_|/ / | |/| | | | | | | | | | | | | | | | | | For now xprop test failures are still expected and ignored, but without this change, they did not even run unless the yosys build was in path.
* / | | | Resolve package types in interfaces (#3658)Dag Lem2023-02-123-0/+31
|/ / / / | | | | | | | | | | | | * Resolve package types in interfaces * Added test for resolving of package types in interfaces
* | | | tests: in xprop tests, use MAKE variable if setJannis Harder2023-02-101-1/+1
| | | |
* | | | Merge pull request #3650 from jix/rtlil_roundtrip_z_bitsJannis Harder2023-01-301-0/+9
|\ \ \ \ | | | | | | | | | | backends/rtlil: Do not shorten a value with z bits to 'x
| * | | | backends/rtlil: Do not shorten a value with z bits to 'xJannis Harder2023-01-291-0/+9
| | |_|/ | |/| |
* | | | add pmux option to bmuxmap for better fsm detection with verific frontendN. Engelhardt2023-01-301-0/+45
| |_|/ |/| |
* | | Resolve struct member package typesDag Lem2023-01-291-0/+4
| | |