aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-09-20 18:40:35 +0100
committerDavid Shah <dave@ds0.me>2019-10-03 09:54:45 +0100
commit9b9d24f15b1b91b64b97e12bd05693f4539762d9 (patch)
tree35b4a40148814c9e826e1a07b49b2d3fca721ee7 /tests/svtypes
parent5501d9090aaf2d508b2f082f8453effe7fce08df (diff)
downloadyosys-9b9d24f15b1b91b64b97e12bd05693f4539762d9.tar.gz
yosys-9b9d24f15b1b91b64b97e12bd05693f4539762d9.tar.bz2
yosys-9b9d24f15b1b91b64b97e12bd05693f4539762d9.zip
sv: Improve tests
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'tests/svtypes')
-rw-r--r--tests/svtypes/typedef_memory.sv2
-rw-r--r--tests/svtypes/typedef_memory.ys2
-rw-r--r--tests/svtypes/typedef_memory_2.sv2
-rw-r--r--tests/svtypes/typedef_memory_2.ys2
-rw-r--r--tests/svtypes/typedef_package.sv2
-rw-r--r--tests/svtypes/typedef_param.sv2
-rw-r--r--tests/svtypes/typedef_scopes.sv23
-rw-r--r--tests/svtypes/typedef_simple.sv2
8 files changed, 30 insertions, 7 deletions
diff --git a/tests/svtypes/typedef_memory.sv b/tests/svtypes/typedef_memory.sv
index c848c3287..37e63c1d0 100644
--- a/tests/svtypes/typedef_memory.sv
+++ b/tests/svtypes/typedef_memory.sv
@@ -7,4 +7,4 @@ module top(input [3:0] addr, wdata, input clk, wen, output reg [3:0] rdata);
if (wen) mem[addr] <= wdata;
rdata <= mem[addr];
end
-endmodule \ No newline at end of file
+endmodule
diff --git a/tests/svtypes/typedef_memory.ys b/tests/svtypes/typedef_memory.ys
index bc1127dc5..d0b8cf5bf 100644
--- a/tests/svtypes/typedef_memory.ys
+++ b/tests/svtypes/typedef_memory.ys
@@ -1,3 +1,3 @@
read -sv typedef_memory.sv
prep -top top
-select -assert-count 1 t:$mem r:SIZE=16 %i r:WIDTH=4 %i \ No newline at end of file
+select -assert-count 1 t:$mem r:SIZE=16 %i r:WIDTH=4 %i
diff --git a/tests/svtypes/typedef_memory_2.sv b/tests/svtypes/typedef_memory_2.sv
index 1e8abb155..6d65131db 100644
--- a/tests/svtypes/typedef_memory_2.sv
+++ b/tests/svtypes/typedef_memory_2.sv
@@ -7,4 +7,4 @@ module top(input [3:0] addr, wdata, input clk, wen, output reg [3:0] rdata);
if (wen) mem[addr] <= wdata;
rdata <= mem[addr];
end
-endmodule \ No newline at end of file
+endmodule
diff --git a/tests/svtypes/typedef_memory_2.ys b/tests/svtypes/typedef_memory_2.ys
index 571e28914..0997beeea 100644
--- a/tests/svtypes/typedef_memory_2.ys
+++ b/tests/svtypes/typedef_memory_2.ys
@@ -1,4 +1,4 @@
read -sv typedef_memory_2.sv
prep -top top
dump
-select -assert-count 1 t:$mem r:SIZE=16 %i r:WIDTH=4 %i \ No newline at end of file
+select -assert-count 1 t:$mem r:SIZE=16 %i r:WIDTH=4 %i
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
index 4aa22b6af..bee88b7ae 100644
--- a/tests/svtypes/typedef_package.sv
+++ b/tests/svtypes/typedef_package.sv
@@ -8,4 +8,4 @@ module top;
always @* assert(a == 8'hAA);
-endmodule \ No newline at end of file
+endmodule
diff --git a/tests/svtypes/typedef_param.sv b/tests/svtypes/typedef_param.sv
index 13a522f19..d838dd828 100644
--- a/tests/svtypes/typedef_param.sv
+++ b/tests/svtypes/typedef_param.sv
@@ -19,4 +19,4 @@ module top;
`STATIC_ASSERT(int8 == 8'b11111111);
`STATIC_ASSERT(ch == 8'b11111111);
-endmodule \ No newline at end of file
+endmodule
diff --git a/tests/svtypes/typedef_scopes.sv b/tests/svtypes/typedef_scopes.sv
new file mode 100644
index 000000000..340defbbb
--- /dev/null
+++ b/tests/svtypes/typedef_scopes.sv
@@ -0,0 +1,23 @@
+
+typedef logic [3:0] outer_uint4_t;
+
+module top;
+
+ outer_uint4_t u4_i = 8'hA5;
+ always @(*) assert(u4_i == 4'h5);
+
+ typedef logic [3:0] inner_type;
+ inner_type inner_i1 = 8'h5A;
+ always @(*) assert(inner_i1 == 4'hA);
+
+ if (1) begin: genblock
+ typedef logic [7:0] inner_type;
+ inner_type inner_gb_i = 8'hA5;
+ always @(*) assert(inner_gb_i == 8'hA5);
+ end
+
+ inner_type inner_i2 = 8'h42;
+ always @(*) assert(inner_i2 == 4'h2);
+
+
+endmodule
diff --git a/tests/svtypes/typedef_simple.sv b/tests/svtypes/typedef_simple.sv
index 0cf2c072c..8f89910e5 100644
--- a/tests/svtypes/typedef_simple.sv
+++ b/tests/svtypes/typedef_simple.sv
@@ -16,4 +16,4 @@ module top;
always @* assert(int8 == 8'b11111111);
always @* assert(ch == 8'b11111111);
-endmodule \ No newline at end of file
+endmodule