aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Fix formatting for msys2 mingw build using GetSizeMiodrag Milanovic2019-08-023-6/+6
* synth_xilinx -arch -> -family, consistent with older synth_intelEddie Hung2019-06-271-7/+8
* Merge pull request #1138 from YosysHQ/koriakin/xc7nocarrymuxEddie Hung2019-06-272-12/+34
|\
| * GrrrEddie Hung2019-06-261-2/+2
| * Fix spacingEddie Hung2019-06-261-5/+5
| * Oops. Actually use nocarry flag as spotted by @koriakinEddie Hung2019-06-261-5/+7
| * synth_ecp5 rename -nomux to -nowidelut, but preserve formerEddie Hung2019-06-261-6/+6
| * Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriak...Eddie Hung2019-06-261-4/+24
| |\
| | * synth_xilinx: Add -nocarry and -nomux options.Marcin Koƛcielnicki2019-04-301-7/+26
* | | Simulation model verilog fixMiodrag Milanovic2019-06-262-14/+1
* | | Add more ECP5 Diamond flip-flops.whitequark2019-06-262-30/+91
|/ /
* | Add RAM32X1D supportEddie Hung2019-06-245-20/+73
* | ecp5: Improve mapping of $alu when BI is usedDavid Shah2019-06-211-4/+5
* | Fixed small typo in ice40_unlut help summaryacw12512019-06-191-1/+1
* | Fixed the help summary line for a few commandsacw12512019-06-191-1/+1
* | ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4kSimon Schubert2019-06-101-0/+24
* | Merge pull request #1073 from whitequark/ecp5-diamond-iobDavid Shah2019-06-061-0/+15
|\ \
| * | ECP5: implement all Diamond I/O buffer primitives.whitequark2019-06-061-0/+15
* | | Remove extra newlineEddie Hung2019-06-031-1/+0
* | | Execute techmap and arith_map simultaneouslyEddie Hung2019-06-031-6/+6
* | | Add "min bits" and "min wports" to xilinx dram rulesEddie Hung2019-05-231-0/+4
* | | Add "wreduce -keepdc", fixes #1016Clifford Wolf2019-05-201-2/+4
* | | ice40/cells_sim.v: Add support for TRIM input to SB_HFOSCSylvain Munaut2019-05-131-0/+11
|/ /
* | Add "stat -tech xilinx"Clifford Wolf2019-05-111-1/+1
* | Fix formatting for synth_intel.ccBen Widawsky2019-05-091-222/+211
* | Add "synth_xilinx -arch"Clifford Wolf2019-05-071-1/+13
* | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-036-178/+124
|\ \
| * | Rename cells_map.v to prevent clash with ff_map.vEddie Hung2019-05-031-6/+8
| * | Merge pull request #969 from YosysHQ/clifford/pmgenstuffClifford Wolf2019-05-032-0/+4
| |\ \
| | * | Run "peepopt" in generic "synth" pass and "synth_ice40"Clifford Wolf2019-04-302-0/+4
| * | | Back to passing all xc7srl tests!Eddie Hung2019-05-011-5/+4
| * | | Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fineEddie Hung2019-05-013-170/+104
| |\ \ \
| | * \ \ Merge pull request #966 from YosysHQ/clifford/fix956Clifford Wolf2019-04-301-1/+1
| | |\ \ \
| | | * | | Add handling of init attributes in "opt_expr -undriven"Clifford Wolf2019-04-301-1/+1
| | | |/ /
| | * | | Refactor synth_xilinx to auto-generate docEddie Hung2019-04-261-153/+95
| | * | | Cleanup ice40Eddie Hung2019-04-261-4/+6
| | |/ /
| * | | WIPEddie Hung2019-04-281-36/+22
| * | | Move neg-pol to pos-pol mapping from ff_map to cells_map.vEddie Hung2019-04-282-9/+12
| * | | Revert synth_xilinx 'fine' label more to how it used to be...Eddie Hung2019-04-261-21/+40
| | |/ | |/|
| * | Where did this check come from!?!Eddie Hung2019-04-261-1/+0
| |/
* | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
* | Improve $specrule interfaceClifford Wolf2019-04-231-3/+4
* | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-0/+28
* | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-70/+70
* | Add $specify2 and $specify3 cells to simlibClifford Wolf2019-04-231-0/+147
|/
* Update help messageEddie Hung2019-04-221-1/+1
* Move 'shregmap -tech xilinx' into map_cellsEddie Hung2019-04-221-17/+20
* Merge remote-tracking branch 'origin/master' into xc7srlEddie Hung2019-04-2212-21/+480
|\
| * Merge pull request #941 from Wren6991/sim_lib_io_clkeClifford Wolf2019-04-221-10/+19
| |\
| | * ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware exp...Luke Wren2019-04-211-10/+19