aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1564 from ZirconiumX/intel_housekeepingDavid Shah2019-12-118-6/+6
|\
| * synth_intel: a10gx -> arria10gxDan Ravensloft2019-12-105-4/+4
| * synth_intel: cyclone10 -> cyclone10lpDan Ravensloft2019-12-105-4/+4
* | Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-094-20/+22
|\ \ | |/ |/|
| * ice40_opt to restore attributes/name when unwrappingEddie Hung2019-12-091-0/+15
| * Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4Eddie Hung2019-12-091-1/+1
| * ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-092-19/+1
| * $__ICE40_CARRY_WRAPPER to use _TECHMAP_REPLACE_ for SB_CARRY to preserveEddie Hung2019-12-031-1/+1
| * ice40_opt to ignore (* keep *) -ed cellsEddie Hung2019-12-031-0/+5
* | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
* | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
|/
* Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-032-112/+270
|\
| * Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-031-1/+1
| * attempt to fix formattingPepijn de Vos2019-11-251-154/+154
| * gowin: add and test dff init valuesPepijn de Vos2019-11-252-41/+199
* | xilinx: Add missing blackbox cell for BUFPLL.Marcin Kościelnicki2019-11-292-0/+21
* | xilinx: Add simulation models for IOBUF and OBUFT.Marcin Kościelnicki2019-11-263-25/+30
* | clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-1/+5
* | xilinx: Use INV instead of LUT1 when applicableMarcin Kościelnicki2019-11-251-2/+6
|/
* coolrunner2: remove spurious log_pop() call, fixes #1463Martin Pietryka2019-11-231-2/+0
* gowin: Add missing .gitignore entriesMarcin Kościelnicki2019-11-221-0/+2
* Merge pull request #1449 from pepijndevos/gowinClifford Wolf2019-11-198-43/+547
|\
| * Remove dff init altogetherPepijn de Vos2019-11-192-3/+3
| * add help for nowidelut and abc9 optionsPepijn de Vos2019-11-181-1/+7
| * Merge branch 'master' of https://github.com/YosysHQ/yosys into gowinPepijn de Vos2019-11-164-15/+439
| |\
| * | fix fsm test with proper clock enable polarityPepijn de Vos2019-11-111-4/+4
| * | Merge branch 'master' of https://github.com/YosysHQ/yosys into gowinPepijn de Vos2019-11-1122-22988/+30572
| |\ \
| * | | fix wide lutsPepijn de Vos2019-11-061-12/+12
| * | | add IOBUFPepijn de Vos2019-10-282-1/+10
| * | | add tristate buffer and testPepijn de Vos2019-10-282-2/+8
| * | | More formattingPepijn de Vos2019-10-281-55/+49
| * | | really really fix formatting maybePepijn de Vos2019-10-281-41/+41
| * | | undo formatting fuckupPepijn de Vos2019-10-281-25/+25
| * | | add wide lutsPepijn de Vos2019-10-283-36/+119
| * | | add 32-bit BRAM and byte-enablesPepijn de Vos2019-10-282-4/+25
| * | | ALU sim tweaksPepijn de Vos2019-10-241-11/+11
| * | | add a few more missing dffPepijn de Vos2019-10-211-7/+16
| * | | add negedge DFFPepijn de Vos2019-10-212-15/+139
| * | | use ADDSUB ALU mode to remove invertersPepijn de Vos2019-10-212-7/+77
| * | | Merge branch 'master' of https://github.com/YosysHQ/yosys into gowinPepijn de Vos2019-10-2158-1315/+24105
| |\ \ \
| * | | | remove duplicate DFFRPepijn de Vos2019-10-161-10/+0
| * | | | Revert "add MUX support"Pepijn de Vos2019-09-063-17/+0
| * | | | fix BRAM width and initPepijn de Vos2019-09-062-12/+28
| * | | | add more DFF to sim libPepijn de Vos2019-09-062-6/+111
| * | | | WIP aditional DFF primitivesPepijn de Vos2019-09-052-1/+48
| * | | | support bram initialisationPepijn de Vos2019-09-055-3/+25
| * | | | use singleton ground and vcc nets, apparently this makes pnr happierPepijn de Vos2019-09-051-1/+1
| * | | | add MUX supportPepijn de Vos2019-09-053-0/+17
| * | | | set undriven pads to zeroPepijn de Vos2019-09-041-0/+1
| * | | | Merge remote-tracking branch 'diego/gowin'Pepijn de Vos2019-09-042-2/+2
| |\ \ \ \