aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/synth_xilinx.cc
Commit message (Expand)AuthorAgeFilesLines
* synth_xilinx: Merge blackbox primitive libraries.Marcin Koƛcielnicki2019-11-061-22/+3
* xilinx: Add URAM288 mapping for xcupDavid Shah2019-10-231-1/+23
* xilinx: Add support for UltraScale[+] BRAM mappingDavid Shah2019-10-231-1/+6
* xilinx: Support multiplier mapping for all families.Marcin Koƛcielnicki2019-10-221-8/+45
* Call memory_dff before DSP mapping to reserve registers (fixes #1447)N. Engelhardt2019-10-171-0/+1
* Merge pull request #1437 from YosysHQ/eddie/abc_to_abc9Eddie Hung2019-10-081-7/+8
|\
| * Merge branch 'master' into eddie/abc_to_abc9Eddie Hung2019-10-041-3/+7
| |\
| * | Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-6/+7
* | | Add comment on why partial multipliers are 18x18Eddie Hung2019-10-041-4/+8
* | | Fix typo in check_label()Eddie Hung2019-10-041-1/+1
| |/ |/|
* | Add temporary `abc9 -nomfs` and use for `synth_xilinx -abc9`Eddie Hung2019-10-041-2/+6
|/
* Merge pull request #1359 from YosysHQ/xc7dspEddie Hung2019-09-291-4/+32
|\
| * Re-orderEddie Hung2019-09-271-1/+1
| * TypoEddie Hung2019-09-261-1/+1
| * select onceEddie Hung2019-09-261-3/+5
| * Stop trying to be too smart by prematurely optimisingEddie Hung2019-09-261-1/+3
| * Call 'wreduce' after mul2dsp to avoid unextend()Eddie Hung2019-09-251-0/+1
| * Revert "Add a xilinx_finalise pass"Eddie Hung2019-09-231-2/+0
| * Add a xilinx_finalise passEddie Hung2019-09-231-0/+2
| * Re-add DSP_A_MINWIDTH, remove unnec. opt_expr -fine from synth_ice40Eddie Hung2019-09-201-1/+1
| * Merge remote-tracking branch 'origin/clifford/fix1381' into xc7dspEddie Hung2019-09-191-1/+3
| |\
| * | synth_xilinx to infer DSPs for Y_WIDTH >= 9 and [AB]_WIDTH >= 2Eddie Hung2019-09-191-1/+4
| * | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-181-6/+15
| |\ \
| * | | Missing spaceEddie Hung2019-09-111-0/+1
| * | | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-111-10/+13
| |\ \ \
| * | | | Move "(skip if -nodsp)" message to labelEddie Hung2019-09-101-4/+4
| * | | | Really get rid of 'opt_expr -fine' by being explicitEddie Hung2019-09-101-3/+0
| * | | | Remove wreduce callEddie Hung2019-09-101-1/+0
| * | | | Add comment for why opt_expr is necessaryEddie Hung2019-09-101-0/+2
| * | | | Revert "Remove "opt_expr -fine" call"Eddie Hung2019-09-101-0/+1
| * | | | Rename label to map_dspEddie Hung2019-09-101-1/+1
| * | | | Remove "opt_expr -fine" callEddie Hung2019-09-101-1/+0
| * | | | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-051-9/+12
| |\ \ \ \
| * \ \ \ \ Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-301-14/+23
| |\ \ \ \ \
| * \ \ \ \ \ Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-08-301-1/+52
| |\ \ \ \ \ \
| | * \ \ \ \ \ Merge branch 'master' into xc7dspDavid Shah2019-08-301-13/+68
| | |\ \ \ \ \ \
| * | \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-201-14/+17
| |\ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-08-151-1/+5
| |\ \ \ \ \ \ \ \ \ | | | |/ / / / / / / | | |/| | | | | | |
| | * | | | | | | | xilinx: Rework labels for faster Verilator testingDavid Shah2019-08-131-1/+5
| * | | | | | | | | Add DSP_A_MAXWIDTH_PARTIAL, refactorEddie Hung2019-08-131-1/+1
| |/ / / / / / / /
| * | | | | | | | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-121-1/+1
| |\ \ \ \ \ \ \ \
| * | | | | | | | | Pack partial-product adder DSP48E1 packingEddie Hung2019-08-091-0/+2
| * | | | | | | | | Combine techmap callsEddie Hung2019-08-081-2/+1
| * | | | | | | | | Move xilinx_dsp to before alumaccEddie Hung2019-08-081-6/+4
| * | | | | | | | | Change $__softmul back to $mulEddie Hung2019-08-011-0/+1
| * | | | | | | | | Use single DSP_SIGNEDONLY macroEddie Hung2019-07-181-1/+1
| * | | | | | | | | Update commentEddie Hung2019-07-171-5/+3
| * | | | | | | | | Revert drop down to 24x16 multipliers for allEddie Hung2019-07-161-1/+1
| * | | | | | | | | Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-07-161-1/+5
| |\ \ \ \ \ \ \ \ \
| | * | | | | | | | | xilinx: Treat DSP48E1 as 24x17 unsigned for now (actual behaviour is 25x18 si...David Shah2019-07-161-1/+5