aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ice40
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1184 from whitequark/synth-better-labelsClifford Wolf2019-07-181-2/+2
|\
| * synth_{ice40,ecp5}: more sensible pass label naming.whitequark2019-07-161-2/+2
* | ice40: Adapt the relut process passes to the new $lut <=> SB_LUT4 port mapSylvain Munaut2019-07-162-4/+4
* | Merge pull request #1186 from YosysHQ/eddie/abc9_ice40_fixEddie Hung2019-07-168-29/+120
|\ \ | |/ |/|
| * $__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per @whitequarkEddie Hung2019-07-157-8/+8
| * ice40_opt to $__ICE40_CARRY_LUT4 into $lut not SB_LUTEddie Hung2019-07-131-9/+7
| * Use Const::from_string() not its constructor...Eddie Hung2019-07-121-1/+1
| * Off by oneEddie Hung2019-07-121-1/+1
| * Fix spacingEddie Hung2019-07-121-1/+1
| * Remove double pushEddie Hung2019-07-121-1/+0
| * Map to and from this box if -abc9Eddie Hung2019-07-121-2/+3
| * ice40_opt to handle this box and opt back to SB_LUT4Eddie Hung2019-07-121-0/+48
| * Add new box to cells_sim.vEddie Hung2019-07-121-2/+25
| * _ABC macro will map and unmap to this new boxEddie Hung2019-07-122-0/+34
| * Combine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 boxEddie Hung2019-07-123-25/+13
* | synth_ice40: switch -relut to be always on.whitequark2019-07-111-10/+4
* | synth_ice40: fix help text typo. NFC.whitequark2019-07-111-1/+1
|/
* Error out if -abc9 and -retime specifiedEddie Hung2019-07-101-1/+4
* Update synth_ice40 -device doc to be relevant for -abc9 onlyEddie Hung2019-06-281-2/+2
* Extraneous newlineEddie Hung2019-06-271-1/+0
* Remove noise from ice40/cells_sim.vEddie Hung2019-06-271-5/+0
* Refactor for one "abc_carry" attribute on moduleEddie Hung2019-06-271-2/+2
* abc9: Add wire delays to synth_ice40David Shah2019-06-261-2/+10
* Fix and cleanup ice40 boxes for carry in/outEddie Hung2019-06-224-313/+25
* Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-201-1/+1
|\
| * Fixed small typo in ice40_unlut help summaryacw12512019-06-191-1/+1
| * Fixed the help summary line for a few commandsacw12512019-06-191-1/+1
* | Resolve comments from @daveshah1Eddie Hung2019-06-141-1/+1
* | Remove WIP ABC9 flop supportEddie Hung2019-06-141-25/+25
* | Make doc consistentEddie Hung2019-06-141-1/+1
* | Fix LP SB_LUT4 timingEddie Hung2019-06-131-1/+1
* | Remove abc_flop{,_d} attributes from ice40/cells_sim.vEddie Hung2019-06-121-40/+20
* | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-06-101-0/+24
|\|
| * ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4kSimon Schubert2019-06-101-0/+24
* | Make SB_LUT4 a whitebox, SB_DFF a blackbox (for now)Eddie Hung2019-06-034-8/+8
* | OoopsieEddie Hung2019-06-031-1/+1
* | Consistent with xilinxEddie Hung2019-06-033-4/+4
* | Merge branch 'xaig' into xc7muxEddie Hung2019-05-311-1/+1
|\ \
| * | Use nonblockingEddie Hung2019-04-231-1/+1
* | | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-05-211-0/+11
|\ \ \ | | |/ | |/|
| * | ice40/cells_sim.v: Add support for TRIM input to SB_HFOSCSylvain Munaut2019-05-131-0/+11
| * | Merge pull request #969 from YosysHQ/clifford/pmgenstuffClifford Wolf2019-05-031-0/+2
| |\ \
* | \ \ Merge remote-tracking branch 'origin/clifford/pmgenstuff' into xc7muxEddie Hung2019-05-021-0/+2
|\ \ \ \ | | |/ / | |/| |
| * | | Run "peepopt" in generic "synth" pass and "synth_ice40"Clifford Wolf2019-04-301-0/+2
* | | | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-05-021-4/+6
|\ \ \ \ | | |/ / | |/| / | |_|/ |/| |
| * | Cleanup ice40Eddie Hung2019-04-261-4/+6
| |/
* | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-04-221-10/+19
|\|
| * ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware exp...Luke Wren2019-04-211-10/+19
* | Convert to use #945Eddie Hung2019-04-212-9/+3
* | ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL setEddie Hung2019-04-192-4/+7