aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Expand)AuthorAgeFilesLines
* Add .sv support to "hierarchy -libdir"Clifford Wolf2018-03-261-0/+6
* Add "expose -input"Clifford Wolf2018-03-121-8/+43
* Add "setundef -undef"Clifford Wolf2018-03-121-0/+11
* Fix handling of src attributes in flattenClifford Wolf2018-03-101-7/+2
* Add "memory_nordff" passClifford Wolf2018-03-062-0/+112
* Fix connwrappers help messageClifford Wolf2018-03-041-1/+1
* Add $dlatchsr support to clk2fflogicClifford Wolf2018-02-261-4/+25
* Fix opt_rmdff handling of $dlatchsrClifford Wolf2018-02-261-0/+3
* Add $allconst and $allseq cell typesClifford Wolf2018-02-231-0/+2
* Recognize stand-alone obj pattern even when it contains a slashClifford Wolf2018-02-131-0/+3
* Improve log messages in equiv_makeClifford Wolf2018-01-191-2/+2
* Add "dffinit -highlow" and fix synth_intelClifford Wolf2018-01-091-0/+20
* Add support for "yosys -E"Clifford Wolf2018-01-077-0/+12
* Bugfix in hierarchy blackbox module port width handlingClifford Wolf2018-01-071-1/+2
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-051-5/+4
* Merge pull request #480 from Fatsie/liberty_value_expressionClifford Wolf2018-01-041-2/+22
|\
| * Value of properties can be expression.Staf Verhaegen2018-01-031-2/+22
* | Temporarily derive blackbox modules in hierarchy to evaluate port widthsClifford Wolf2018-01-041-1/+14
|/
* Fix a bug in clk2fflogic memory handlingClifford Wolf2017-12-141-1/+1
* Add clk2fflogic memory supportClifford Wolf2017-12-141-1/+77
* Check for memories in clk2fflogicClifford Wolf2017-12-131-0/+5
* Add warnings for driver-driver conflicts between FFs (and other cells) and co...Clifford Wolf2017-12-122-3/+11
* Add support for editline as replacement for readlineClifford Wolf2017-11-081-0/+4
* Add "ltp" commandClifford Wolf2017-10-312-0/+186
* Fix memory corruption bug in opt_rmdffClifford Wolf2017-10-261-0/+3
* Fix typo in opt_clean log messageClifford Wolf2017-10-261-1/+1
* Revert 90be0d8 as it causes endless loops for some designsClifford Wolf2017-10-141-1/+0
* Fix input vector for reduce cells.Kaj Tuomi2017-10-121-0/+1
* Rewrite ABC output to include proper net names in timing reportClifford Wolf2017-10-101-2/+17
* Add blackbox commandClifford Wolf2017-10-042-0/+82
* Added missing "break"Andrew Zonenberg2017-09-151-0/+1
* Implemented off-chain support for extract_reduceAndrew Zonenberg2017-09-151-84/+157
* extract_reduce now only removes the head of the chain, relying on "clean" to ...Andrew Zonenberg2017-09-151-9/+19
* Merge pull request #412 from azonenberg/reduce-fixesClifford Wolf2017-09-141-2/+2
|\
| * extract_reduce: Fix segfault on "undriven" inputsRobert Ou2017-09-141-2/+2
* | Merge pull request #411 from azonenberg/counter-extraction-fixesClifford Wolf2017-09-141-47/+116
|\ \
| * | Fixed bug where counter extraction on non-GreenPAK devices incorrectly handle...Andrew Zonenberg2017-09-141-32/+27
| * | Added support for inferring counters with reset to full scale instead of zeroAndrew Zonenberg2017-09-141-4/+11
| * | Added RESET_TO_MAX parameter to $__COUNT_ cell. Cannot yet be extracted.Andrew Zonenberg2017-09-141-0/+1
| * | Added support for inferring counters with active-low resetAndrew Zonenberg2017-09-141-6/+15
| * | Initial support for extraction of counters with clock enableAndrew Zonenberg2017-09-141-9/+66
| * | Fixed typo in comment. Fixed bug where extract_counter would create up counte...Andrew Zonenberg2017-09-141-2/+2
| |/
* | Minor changes to opt_demorgan requested during code reviewAndrew Zonenberg2017-09-142-18/+18
* | Initial version of opt_demorgan is functioning for AND/OR gates. Not the pret...Andrew Zonenberg2017-09-122-0/+203
|/
* Add src attribute to extra cells generated by proc_dlatchClifford Wolf2017-09-091-7/+9
* Further improve extract_fa (but still buggy)Clifford Wolf2017-09-021-28/+91
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-09-012-0/+583
|\
| * extract_counter: Added optimizations to remove unused high-order bitsAndrew Zonenberg2017-08-301-16/+34
| * extract_counter: Minor changes requested to comply with upstream policy, fixe...Andrew Zonenberg2017-08-301-3/+4
| * Finished refactoring counter extraction to be nice and generic. Implemented t...Andrew Zonenberg2017-08-281-11/+25