aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt
Commit message (Expand)AuthorAgeFilesLines
...
* Use only module->addCell() and module->remove() to create and delete cellsClifford Wolf2014-07-256-22/+9
* Fixed memory corruption in "opt_reduce" passClifford Wolf2014-07-251-5/+7
* Replaced more old SigChunk programming patternsClifford Wolf2014-07-241-9/+4
* Added cover() calls to opt_constClifford Wolf2014-07-241-9/+45
* Removed RTLIL::SigSpec::optimize()Clifford Wolf2014-07-232-4/+0
* Removed RTLIL::SigSpec::expand() methodClifford Wolf2014-07-234-40/+39
* Refactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ..) constructor -- step 3/3Clifford Wolf2014-07-231-1/+1
* Refactoring {SigSpec|SigChunk}(RTLIL::Wire *wire, ..) constructor -- step 2/3Clifford Wolf2014-07-231-1/+1
* SigSpec refactoring: change RTLIL::SigSpec::chunks() to be read-only, created...Clifford Wolf2014-07-221-7/+2
* SigSpec refactoring: using the accessor functions everywhereClifford Wolf2014-07-226-89/+89
* SigSpec refactoring: renamed chunks and width to __chunks and __widthClifford Wolf2014-07-226-89/+89
* Added "opt_const -keepdc"Clifford Wolf2014-07-212-15/+168
* Added mul to mux conversion to "opt_const -fine"Clifford Wolf2014-07-211-0/+55
* Added "opt_const -fine" and "opt_reduce -fine"Clifford Wolf2014-07-213-15/+144
* Added opt_const support for simple identitiesClifford Wolf2014-07-211-0/+69
* Apply opt_reduce WR_EN opts to the whole mux tree driving the WR_EN portClifford Wolf2014-07-181-0/+15
* Improved opt_reduce handling of mem wr_en mux bitsClifford Wolf2014-07-171-5/+18
* improved opt_reduce for $mem/$memwr WR_EN multiplexersClifford Wolf2014-07-161-0/+80
* Fixed bug in opt_reduce (see vloghammer issue_044)Clifford Wolf2014-05-121-1/+4
* Fixed performance problem in opt_mux with nets driven by many conflicting dri...Clifford Wolf2014-03-191-1/+6
* Fixed undef handling in opt_reduceClifford Wolf2014-03-061-2/+2
* Fixed const folding of $bu0 cellsClifford Wolf2014-02-271-0/+1
* Fixed bug (typo) in passes/opt/opt_const.ccClifford Wolf2014-02-221-1/+1
* Fixed handling of "keep" attribute on wires in opt_cleanClifford Wolf2014-02-161-2/+2
* Fixed opt_const handling of double invert with non-1 output widthClifford Wolf2014-02-151-1/+1
* Added opt -purge (frontend to opt_clean -purge)Clifford Wolf2014-02-081-3/+8
* Only count non-trivial attributes when findinf master signal in opt_cleanClifford Wolf2014-02-081-2/+13
* Improved detection of primary wire for a signal in opt_cleanClifford Wolf2014-02-071-4/+23
* Added opt_const -undrivenClifford Wolf2014-02-062-3/+68
* Fixed detection of init attribute in opt_rmdffClifford Wolf2014-02-041-1/+1
* Improved handling of reg init in opt_share and opt_rmdffClifford Wolf2014-02-042-7/+48
* More opt_const -mux_bool featuresClifford Wolf2014-02-021-7/+46
* Added opt_const -mux_boolClifford Wolf2014-02-022-7/+47
* Added support for inverter chains to opt_constClifford Wolf2014-02-021-1/+21
* Added constant-clock case to opt_rmdffClifford Wolf2014-02-021-0/+8
* Added $assert cellClifford Wolf2014-01-191-1/+1
* Added support for $adff with undef data inputs to opt_rmdffClifford Wolf2014-01-171-0/+6
* Added "opt_const -mux_undef"Clifford Wolf2014-01-141-5/+71
* Improved $_MUX_ handling in opt_constClifford Wolf2013-12-281-10/+9
* More conservastive $eq/$ne/$eqx/$nex opt_const codeClifford Wolf2013-12-281-8/+2
* More $eq/$ne/$eqx/$nex fixes in opt_constClifford Wolf2013-12-271-1/+8
* Fixed/improved opt_const $eq/$ne/$eqx/$nex handlingClifford Wolf2013-12-271-1/+3
* Added support for non-const === and !== (for miter circuits)Clifford Wolf2013-12-271-5/+7
* Cleanups and bugfixes in response to new internal cell checkerClifford Wolf2013-11-112-2/+3
* Fixed keep attribute on wires in opt_cleanClifford Wolf2013-11-081-1/+1
* Renamed extend_un0() to extend_u0() and use it in genrtlilClifford Wolf2013-11-071-2/+2
* Fixed type of sign extension in opt_const $eq/$ne handlingClifford Wolf2013-11-071-9/+9
* Fixed $eq/$ne bitwise optimization in opt_constClifford Wolf2013-11-071-7/+8
* Added support for "keep" attributes on wiresClifford Wolf2013-11-051-0/+5
* Fixed handling of boolean attributes (passes)Clifford Wolf2013-10-241-1/+1