aboutsummaryrefslogtreecommitdiffstats
path: root/kernel
Commit message (Expand)AuthorAgeFilesLines
* Fix for SigSpec() == SigSpec(State::Sx, 0) to be true againEddie Hung2019-10-041-0/+6
* Add Const::{begin,end,empty}()Eddie Hung2019-10-041-0/+3
* log_dump() to support State enumEddie Hung2019-10-023-0/+6
* Fix typoEddie Hung2019-09-301-1/+1
* Merge pull request #1416 from YosysHQ/mmicko/frontend_binary_inMiodrag Milanović2019-09-302-3/+3
|\
| * Open aig frontend as binary fileMiodrag Milanovic2019-09-292-3/+3
* | Merge pull request #1414 from hzeller/improve-replace-with-empty-mapEddie Hung2019-09-291-0/+2
|\ \ | |/ |/|
| * Avoid work in replace() if rules empty.Henner Zeller2019-09-291-0/+2
* | Support binary files for backends, fixes #1407Miodrag Milanovic2019-09-282-3/+3
|/
* Make read/write gzip files on macos works, fixes #1357Miodrag Milanovic2019-09-261-3/+11
* SpacingEddie Hung2019-09-131-1/+1
* Use template specialisationEddie Hung2019-09-131-2/+9
* Revert "SigSet<Cell*> to use stable compare class"Eddie Hung2019-09-131-1/+1
* GrammarEddie Hung2019-09-121-1/+1
* static_assert to enforce this going forwardEddie Hung2019-09-121-0/+2
* SigSet<Cell*> to use stable compare classEddie Hung2019-09-121-1/+1
* Bump year in copyright noticeClifford Wolf2019-08-221-1/+1
* Merge pull request #1283 from YosysHQ/clifford/fix1255Clifford Wolf2019-08-171-1/+1
|\
| * Merge branch 'master' into clifford/fix1255Clifford Wolf2019-08-1511-1207/+1271
| |\
| * | Fix various NDEBUG compiler warnings, closes #1255Clifford Wolf2019-08-131-1/+1
* | | Move namespace aliasEddie Hung2019-08-161-2/+1
* | | Try this for gcc-4.8?Eddie Hung2019-08-151-1/+1
* | | Fix spacingEddie Hung2019-08-151-1/+1
* | | Use more ID::{A,B,Y,blackbox,whitebox}Eddie Hung2019-08-158-286/+288
* | | More use of IdString::in()Eddie Hung2019-08-151-26/+25
| |/ |/|
* | Add YOSYS_NO_IDS_REFCNT configuration macroClifford Wolf2019-08-112-2/+25
* | Use ID() in kernel/*, add simple ID:: hack (to be improved upon later)Clifford Wolf2019-08-1110-1160/+1182
* | More improvements and cleanups in IdString subsystemClifford Wolf2019-08-113-43/+54
* | Improve API of ID() macroClifford Wolf2019-08-111-2/+10
|/
* Merge pull request #1258 from YosysHQ/eddie/cleanupClifford Wolf2019-08-108-86/+90
|\
| * substr() -> compare()Eddie Hung2019-08-072-32/+32
| * RTLIL::S{0,1} -> State::S{0,1} for headersEddie Hung2019-08-075-40/+40
| * RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-6/+6
| * Merge remote-tracking branch 'origin/master' into eddie/cleanupEddie Hung2019-08-075-80/+96
| |\
| * | stoi -> atoiEddie Hung2019-08-071-3/+3
| * | Fix typosEddie Hung2019-08-062-4/+4
| * | Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-061-3/+3
| * | Use IdString::begins_with()Eddie Hung2019-08-062-7/+11
| * | Make liberal use of IdString.in()Eddie Hung2019-08-061-1/+1
* | | Automatically prune init attributes in verific front-end, fixes #1237Clifford Wolf2019-08-071-5/+14
| |/ |/|
* | Merge pull request #1213 from YosysHQ/eddie/wreduce_addClifford Wolf2019-08-071-0/+2
|\ \
| * | Add SigSpec::extract_end() convenience functionEddie Hung2019-08-061-0/+1
| * | Restore original SigSpec::extract()Eddie Hung2019-08-061-1/+1
| * | Merge remote-tracking branch 'origin/master' into eddie/wreduce_addEddie Hung2019-08-0610-28/+184
| |\|
| * | Add an SigSpec::at(offset, defval) convenience methodEddie Hung2019-07-191-0/+1
| * | SigSpec::extract to take negative lengthsEddie Hung2019-07-191-1/+1
* | | Merge pull request #1253 from YosysHQ/clifford/checkClifford Wolf2019-08-073-9/+17
|\ \ \
| * | | Be less aggressive with running design->check()Clifford Wolf2019-08-063-9/+17
* | | | Tweak default gate costs, cleanup "stat -tech cmos"Clifford Wolf2019-08-071-4/+4
* | | | Redesign of cell cost APIClifford Wolf2019-08-071-71/+77
| |_|/ |/| |