aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_lexer.l
Commit message (Expand)AuthorAgeFilesLines
* Error duplicate declarations of a typedef name in the same scope.Peter Crozier2020-03-241-1/+1
* Support module/package/interface/block scope for typedef names.Peter Crozier2020-03-231-2/+15
* Parser changes to support typedef.Peter2020-03-221-2/+26
* refixed parsing of constant with comment between size and valueMarcus Comstedt2020-03-111-8/+23
* Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-19/+53
* verilog: ignore '&&&' when not in -specify modeEddie Hung2020-02-131-1/+1
* sv: Improve handling of wildcard port connectionsDavid Shah2020-02-021-1/+1
* sv: Add lexing and parsing of .* (wildcard port conns)David Shah2020-02-021-0/+2
* Fixed some missing "verilog_" in documentationRodrigo Alejandro Melo2019-12-131-1/+1
* sv: Correct parsing of always_comb, always_ff and always_latchDavid Shah2019-11-211-3/+3
* Fix lexing of integer literals without radixClifford Wolf2019-09-131-1/+1
* Fix lexing of integer literals, fixes #1364Clifford Wolf2019-09-121-1/+1
* verilog_lexer: Increase YY_BUF_SIZE to 65536David Shah2019-07-261-0/+3
* Fix read_verilog assert/assume/etc on default case label, fixes YosysHQ/Symbi...Clifford Wolf2019-07-021-0/+2
* Fixed brojen $error()/$info/$warning() on non-generate blocksUdi Finkelstein2019-06-111-1/+1
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-0/+5
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-0/+5
* | Merge branch 'master' into wandworStefan Biereigel2019-05-271-1/+1
|\ \
| * | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-271-1/+1
* | | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-231-0/+2
|/ /
* | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-061-0/+2
|\ \
| * \ Merge pull request #988 from YosysHQ/clifford/fix987Clifford Wolf2019-05-041-0/+1
| |\ \
| | * | Add approximate support for SV "var" keyword, fixes #987Clifford Wolf2019-05-041-0/+1
| | |/
| * / Add support for SVA "final" keywordClifford Wolf2019-05-041-0/+1
| |/
* | Improve $specrule interfaceClifford Wolf2019-04-231-1/+1
* | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-0/+11
* | Un-break default specify parserClifford Wolf2019-04-231-0/+1
* | Add specify parserClifford Wolf2019-04-231-1/+6
|/
* Fix handling of cases that look like sva labels, fixes #862Clifford Wolf2019-03-101-49/+10
* Also add support for labels on sva module items, fixes #699Clifford Wolf2019-03-081-5/+52
* Bugfix in Verilog string handlingClifford Wolf2019-01-051-1/+1
* Merge pull request #659 from rubund/sv_interfacesClifford Wolf2018-10-181-0/+8
|\
| * Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-0/+8
* | ignore protect endprotectargama2018-10-161-0/+3
|/
* Add "make coverage"Clifford Wolf2018-08-271-1/+1
* Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-151-1/+1
|\
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-111-1/+1
* | Support more character literalsDan Gisselquist2018-05-031-1/+9
* | First draft of Verilog parser support for specify blocks and parameters.Udi Finkelstein2018-03-271-0/+3
|/
* Add Verilog "automatic" keyword (ignored in synthesis)Clifford Wolf2017-11-231-0/+1
* Fix ignoring of simulation timings so that invalid module parameters cause sy...Clifford Wolf2017-09-261-4/+0
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-0/+3
* Add support for SystemVerilog unique, unique0, and priority caseClifford Wolf2017-02-231-0/+4
* Added SystemVerilog support for ++ and --Clifford Wolf2017-02-231-1/+3
* Add checker support to verilog front-endClifford Wolf2017-02-091-9/+11
* Add SV "rand" and "const rand" supportClifford Wolf2017-02-081-2/+4
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+1
* Add "enum" and "typedef" lexer supportClifford Wolf2017-01-171-0/+3
* Removed $predict againClifford Wolf2016-08-281-1/+0
* Added read_verilog -norestrict -assume-assertsClifford Wolf2016-08-261-1/+1