aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_lexer.l
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-06-07 12:08:42 +0200
committerClifford Wolf <clifford@clifford.at>2019-06-07 12:08:42 +0200
commita3bbc5365bc8ed411ab437a6baea5596531933a8 (patch)
treeb4baf6d5eb444c6e462d874aa21601e5654e3c3c /frontends/verilog/verilog_lexer.l
parent169de05f3be779dd936ed5e0efea6a6055d5b187 (diff)
parentac10e7d96da4965751fd60a8dd42a8998c011c39 (diff)
downloadyosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.tar.gz
yosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.tar.bz2
yosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.zip
Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983
Diffstat (limited to 'frontends/verilog/verilog_lexer.l')
-rw-r--r--frontends/verilog/verilog_lexer.l5
1 files changed, 5 insertions, 0 deletions
diff --git a/frontends/verilog/verilog_lexer.l b/frontends/verilog/verilog_lexer.l
index 9558bbfb9..3c612472d 100644
--- a/frontends/verilog/verilog_lexer.l
+++ b/frontends/verilog/verilog_lexer.l
@@ -311,6 +311,11 @@ supply1 { return TOK_SUPPLY1; }
return TOK_ID;
}
+"$"(info|warning|error|fatal) {
+ frontend_verilog_yylval.string = new std::string(yytext);
+ return TOK_ELAB_TASK;
+}
+
"$signed" { return TOK_TO_SIGNED; }
"$unsigned" { return TOK_TO_UNSIGNED; }