aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_frontend.cc
Commit message (Expand)AuthorAgeFilesLines
* verilog: Squash a memory leak.Marcelina Koƛcielnicka2021-06-141-8/+4
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
* verilog: rebuild user_type_stack from globals before parsing fileXiretza2021-03-181-5/+21
* sv: carry over global typedefs from previous filesZachary Snow2021-03-171-2/+5
* Fix indents.Tom Verbeure2021-01-041-2/+2
* Add -nosynthesis flag for read_verilog command.Tom Verbeure2021-01-041-3/+12
* Fix SYNTHESIS always being defined in Verilog frontendgeorgerennie2020-12-011-0/+3
* Use C++11 final/override keywords.whitequark2020-06-181-6/+6
* frontend: cleanup to use more ID::*, more dict<> instead of map<>Eddie Hung2020-05-041-1/+1
* Merge pull request #1811 from PeterCrozier/typedef_scopeN. Engelhardt2020-03-301-1/+2
|\
| * Support module/package/interface/block scope for typedef names.Peter Crozier2020-03-231-1/+2
* | Add support for SystemVerilog-style `define to Verilog frontendRupert Swarbrick2020-03-271-13/+13
|/
* Build pkg_user_types before parsing in case of changes in the design.Peter Crozier2020-03-221-6/+3
* Clear pkg_user_types if no packages following a 'design -reset-vlog'.Peter2020-03-221-0/+4
* Parser changes to support typedef.Peter2020-03-221-0/+19
* Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-1/+1
* Add "verilog_defines -list" and "verilog_defines -reset"Clifford Wolf2019-10-211-0/+16
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-191-1/+9
* Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-2/+2
|\
| * Include filename in "Executing Verilog-2005 frontend" message, fixes #959Clifford Wolf2019-04-301-2/+2
* | Add specify parserClifford Wolf2019-04-231-5/+13
|/
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-201-9/+20
* Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-2/+12
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-211-5/+24
* Add "read_verilog -noassert -noassume -assert-assumes"Clifford Wolf2018-09-241-1/+22
* Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-231-1/+9
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-6/+6
* Use log_file_warning(), log_file_error() functions.Henner Zeller2018-07-201-5/+3
* Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-031-6/+17
* Bugfix in verilog_defaults argument parserClifford Wolf2017-12-241-1/+1
* Add a paragraph about pre-defined macros to read_verilog help messageClifford Wolf2017-07-211-0/+4
* Added "verilog_defines" commandClifford Wolf2016-12-151-0/+60
* Bugfix in "read_verilog -D NAME=VAL" handlingClifford Wolf2016-11-281-3/+3
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-1/+1
* Added read_verilog -norestrict -assume-assertsClifford Wolf2016-08-261-1/+17
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-1/+9
* No tristate warning message for "read_verilog -lib"Clifford Wolf2016-07-231-3/+3
* Small improvements in Verilog front-end docsClifford Wolf2016-05-201-0/+3
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
* Fixed typos in verilog_defaults help messageClifford Wolf2016-03-101-3/+3
* SystemVerilog also has assume(), added implicit -D FORMALClifford Wolf2015-10-131-2/+2
* Added read_verilog -nodpiClifford Wolf2015-09-231-0/+19
* Re-created command-reference-manual.tex, copied some doc fixes to online helpClifford Wolf2015-08-141-6/+6
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
* Add -noautowire option to verilog frontendMarcus Comstedt2015-08-011-1/+8
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
* Verilog front-end: define `BLACKBOX in -lib modeClifford Wolf2015-04-191-1/+2
* Added non-std verilog assume() statementClifford Wolf2015-02-261-1/+11
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-141-1/+15
* Print "SystemVerilog" in "read_verilog -sv" log messagesClifford Wolf2014-10-161-1/+1