aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_frontend.cc
diff options
context:
space:
mode:
authorHenner Zeller <h.zeller@acm.org>2018-07-20 08:11:20 -0700
committerHenner Zeller <h.zeller@acm.org>2018-07-20 08:19:06 -0700
commitb5ea598ef6cec535378c8cbb53170ccd1e5855ca (patch)
tree00620b8450f2a7f873b1ac32642284d77137e2be /frontends/verilog/verilog_frontend.cc
parentbf68e9a94ae243e04e4c3f42999420aca34e94ee (diff)
downloadyosys-b5ea598ef6cec535378c8cbb53170ccd1e5855ca.tar.gz
yosys-b5ea598ef6cec535378c8cbb53170ccd1e5855ca.tar.bz2
yosys-b5ea598ef6cec535378c8cbb53170ccd1e5855ca.zip
Use log_file_warning(), log_file_error() functions.
Wherever we can report a source-level location.
Diffstat (limited to 'frontends/verilog/verilog_frontend.cc')
-rw-r--r--frontends/verilog/verilog_frontend.cc8
1 files changed, 3 insertions, 5 deletions
diff --git a/frontends/verilog/verilog_frontend.cc b/frontends/verilog/verilog_frontend.cc
index 505c94619..be925fea2 100644
--- a/frontends/verilog/verilog_frontend.cc
+++ b/frontends/verilog/verilog_frontend.cc
@@ -42,7 +42,7 @@ static std::list<std::vector<std::string>> verilog_defaults_stack;
static void error_on_dpi_function(AST::AstNode *node)
{
if (node->type == AST::AST_DPI_FUNCTION)
- log_error("Found DPI function %s at %s:%d.\n", node->str.c_str(), node->filename.c_str(), node->linenum);
+ log_file_error(node->filename, node->linenum, "Found DPI function %s.\n", node->str.c_str());
for (auto child : node->children)
error_on_dpi_function(child);
}
@@ -519,13 +519,11 @@ void frontend_verilog_yyerror(char const *fmt, ...)
va_list ap;
char buffer[1024];
char *p = buffer;
- p += snprintf(p, buffer + sizeof(buffer) - p, "Parser error in line %s:%d: ",
- YOSYS_NAMESPACE_PREFIX AST::current_filename.c_str(), frontend_verilog_yyget_lineno());
va_start(ap, fmt);
p += vsnprintf(p, buffer + sizeof(buffer) - p, fmt, ap);
va_end(ap);
p += snprintf(p, buffer + sizeof(buffer) - p, "\n");
- YOSYS_NAMESPACE_PREFIX log_error("%s", buffer);
+ YOSYS_NAMESPACE_PREFIX log_file_error(YOSYS_NAMESPACE_PREFIX AST::current_filename, frontend_verilog_yyget_lineno(),
+ "%s", buffer);
exit(1);
}
-