aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Expand)AuthorAgeFilesLines
* Add support for non-power-of-two mem chunks in verific importerClaire Wolf2020-05-141-2/+12
* verific: ignore anonymous enumsEddie Hung2020-04-301-1/+4
* verific: support VHDL enums tooEddie Hung2020-04-271-13/+43
* verific: recover wiretype/enum attr as part of import_attributes()Eddie Hung2020-04-272-6/+35
* Revert "verific: import enum attributes from verific"Eddie Hung2020-04-241-24/+0
* verific: do not assert if wire not found; warn insteadEddie Hung2020-04-231-2/+6
* verific: import enum attributes from verificEddie Hung2020-04-221-0/+20
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-48/+48
* kernel: use more ID::*Eddie Hung2020-04-021-1/+1
* Merge pull request #1667 from YosysHQ/clifford/verificnandClaire Wolf2020-01-301-0/+8
|\
| * Add Verific support for OPER_REDUCE_NANDClaire Wolf2020-01-301-0/+8
* | Merge pull request #1503 from YosysHQ/eddie/verific_helpClaire Wolf2020-01-301-8/+8
|\ \
| * | Merge remote-tracking branch 'origin/master' into eddie/verific_helpEddie Hung2020-01-274-18/+74
| |\|
| * | verific: no help() when no YOSYS_ENABLE_VERIFICEddie Hung2020-01-271-4/+1
| * | OopsEddie Hung2019-11-191-1/+1
| * | Print help message for verific passEddie Hung2019-11-191-9/+12
* | | verific: also unflatten for 'hierarchy' flow as per @cliffordwolfEddie Hung2020-01-271-0/+3
* | | verific: unflatten struct portsEddie Hung2020-01-241-0/+3
| |/ |/|
* | Send people to symbioticeda.com instead of verific.comClifford Wolf2019-12-182-5/+26
* | Add Verific support for SVA nexttime propertiesClifford Wolf2019-11-221-0/+22
* | Improve handling of verific primitives in "verific -import -V" modeClifford Wolf2019-11-221-2/+2
* | Add Verific SVA support for "always" propertiesClifford Wolf2019-11-221-5/+15
* | Correctly treat empty modules as blackboxes in VerificClifford Wolf2019-11-201-1/+1
* | Do not rename VHDL entities to "entity(impl)" when they are top modulesClifford Wolf2019-11-202-5/+8
|/
* Improve naming scheme for (VHDL) modules imported from VerificClifford Wolf2019-10-241-3/+26
* Add "verific -L"Clifford Wolf2019-10-241-1/+12
* Fix handling of "restrict" in Verific front-endClifford Wolf2019-10-211-1/+1
* Fix erroneous ifndef-NDEBUG in verific.ccClifford Wolf2019-08-171-3/+1
* Fix various NDEBUG compiler warnings, closes #1255Clifford Wolf2019-08-131-0/+2
* Merge pull request #1258 from YosysHQ/eddie/cleanupClifford Wolf2019-08-102-3/+3
|\
| * substr() -> compare()Eddie Hung2019-08-071-2/+2
| * stoi -> atoiEddie Hung2019-08-071-1/+1
| * Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-061-1/+1
| * Use State::S{0,1}Eddie Hung2019-08-061-1/+1
* | Automatically prune init attributes in verific front-end, fixes #1237Clifford Wolf2019-08-072-7/+60
|/
* Call "read_verilog" with -defer from "read"Clifford Wolf2019-07-291-1/+2
* Only support Symbiotic EDA flavored VerificClifford Wolf2019-06-021-0/+8
* Enable Verific flag veri_elaborate_top_level_modules_having_interface_ports, ...Clifford Wolf2019-05-301-0/+3
* For hier_tree::Elaborate() also include SV root modules (bind)Eddie Hung2019-05-031-23/+36
* Fix verific_parameters construction, use attribute to mark top netlistsEddie Hung2019-05-032-8/+12
* WIP -chparam support for hierarchy when verificEddie Hung2019-05-032-12/+17
* verific_import() changes to avoid ElaborateAll()Eddie Hung2019-05-031-15/+38
* Add "read -verific" and "read -noverific"Clifford Wolf2019-03-271-6/+28
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-15/+71
* Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-091-1/+14
|\
| * Add hack for handling SVA labels via VerificClifford Wolf2019-03-071-1/+14
* | Update help message for -chparamEddie Hung2019-03-091-1/+2
* | Add -chparam option to verific commandEddie Hung2019-03-091-2/+18
* | Fix spellingEddie Hung2019-03-091-1/+1
|/
* Improve "read" error msgClifford Wolf2019-02-281-1/+1