aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #766 from Icenowy/anlogic-latchesClifford Wolf2018-12-311-0/+12
|\
| * anlogic: add latch cellsIcenowy Zheng2018-12-251-0/+12
* | Fix 7 instances of add_share_file to add_gen_share_fileLarry Doolittle2018-12-291-8/+8
* | Squelch a little more trailing whitespaceLarry Doolittle2018-12-292-4/+4
* | Merge pull request #761 from whitequark/proc_clean_partialClifford Wolf2018-12-233-10/+42
|\ \
| * | proc_clean: remove any empty cases if all cases use all-def compare.whitequark2018-12-233-6/+42
| * | proc_clean: remove any empty cases at the end of the switch.whitequark2018-12-221-7/+3
* | | Add "read_ilang -[no]overwrite"Clifford Wolf2018-12-233-4/+54
* | | Merge branch 'master' of github.com:YosysHQ/yosysClifford Wolf2018-12-237-22/+58
|\ \ \
| * \ \ Merge pull request #757 from whitequark/manual_memClifford Wolf2018-12-222-10/+37
| |\ \ \ | | |/ / | |/| |
| | * | manual: make description of $meminit ports match reality.whitequark2018-12-211-3/+15
| | * | manual: fix typos.whitequark2018-12-201-2/+2
| | * | manual: document $meminit cell and memory_* passes.whitequark2018-12-202-8/+23
| * | | Merge pull request #758 from whitequark/tcl_script_argsClifford Wolf2018-12-211-7/+18
| |\ \ \
| | * | | tcl: add support for passing arguments to scripts.whitequark2018-12-201-7/+18
| | | |/ | | |/|
| * | | Merge pull request #759 from whitequark/memory_collect_init_xClifford Wolf2018-12-211-3/+0
| |\ \ \ | | |/ / | |/| |
| | * | memory_collect: do not truncate 'x from \INIT.whitequark2018-12-211-3/+0
| |/ /
| * | Merge pull request #752 from Icenowy/anlogic-lut-costClifford Wolf2018-12-191-1/+1
| |\ \
| | * | Anlogic: let LUT5/6 have more cost than LUT4-Icenowy Zheng2018-12-191-1/+1
| * | | Merge pull request #753 from Icenowy/anlogic-makefile-fixClifford Wolf2018-12-191-0/+1
| |\ \ \
| | * | | anlogic: fix Makefile.incIcenowy Zheng2018-12-191-0/+1
| | |/ /
| * | | Merge pull request #749 from Icenowy/anlogic-dram-fixClifford Wolf2018-12-191-1/+1
| |\ \ \
| | * | | anlogic: fix dbits of Anlogic Eagle DRAM16X4Icenowy Zheng2018-12-181-1/+1
| | |/ /
* | / / Minor style fixesClifford Wolf2018-12-182-1/+1
|/ / /
* | | Merge pull request #748 from makaimann/add-btor-opsClifford Wolf2018-12-182-2/+38
|\ \ \
| * | | Add btor ops for $mul, $div, $mod and $concatmakaimann2018-12-172-2/+38
| |/ /
* | | Merge pull request #751 from daveshah1/fix_589Clifford Wolf2018-12-181-1/+1
|\ \ \
| * | | memory_dff: Fix typo when checking init valueDavid Shah2018-12-181-1/+1
|/ / /
* | | Fix segfault in AST simplifyClifford Wolf2018-12-181-0/+5
* | | Improve src tagging (using names and attrs) of cells and wires in verific fro...Clifford Wolf2018-12-182-99/+160
|/ /
* | Merge pull request #746 from Icenowy/anlogic-dramClifford Wolf2018-12-175-1/+355
|\ \ | |/ |/|
| * anlogic: add support for Eagle Distributed RAMIcenowy Zheng2018-12-174-1/+43
| * Revert "Leave only real black box cells"Icenowy Zheng2018-12-171-0/+312
* | Merge pull request #742 from whitequark/changelogClifford Wolf2018-12-171-0/+7
|\ \
| * | Update CHANGELOG.whitequark2018-12-161-0/+7
* | | Merge pull request #741 from whitequark/ilang_slice_sigspecClifford Wolf2018-12-171-10/+6
|\ \ \
| * | | read_ilang: allow slicing sigspecs.whitequark2018-12-161-10/+6
| |/ /
* | | Merge pull request #744 from whitequark/write_verilog_$shiftClifford Wolf2018-12-171-0/+29
|\ \ \ | |_|/ |/| |
| * | write_verilog: handle the $shift cell.whitequark2018-12-161-0/+29
| |/
* | Merge pull request #745 from YosysHQ/revert-714-abc_preserve_namingClifford Wolf2018-12-161-51/+29
|\ \ | |/ |/|
| * Revert "Proof-of-concept: preserve naming through ABC using dress"Clifford Wolf2018-12-161-51/+29
|/
* Merge pull request #736 from whitequark/select_assert_listClifford Wolf2018-12-162-9/+51
|\
| * select: print selection if a -assert-* flag causes an error.whitequark2018-12-161-8/+50
| * write_verilog: add a missing newline.whitequark2018-12-161-1/+1
* | Rename "fine:" label to "map:" in "synth_ice40"Clifford Wolf2018-12-161-1/+1
* | Merge pull request #704 from webhat/feature/fix-awkClifford Wolf2018-12-161-2/+3
|\ \
| * | Using awk rather than gawkDaniƫl W. Crompton2018-11-191-2/+3
* | | Merge pull request #738 from smunaut/issue_737Clifford Wolf2018-12-161-19/+29
|\ \ \
| * | | verilog_parser: Properly handle recursion when processing attributesSylvain Munaut2018-12-141-19/+29
* | | | Merge pull request #735 from daveshah1/trifixesClifford Wolf2018-12-161-3/+4
|\ \ \ \