aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* travis: Reworking travis setup.Tim 'mithro' Ansell2017-11-245-33/+262
| | | | | | | | | * Move the code into scripts inside .travis directory. * Build on multiple compiler versions. Fixes #442 - Make travis build pass Fixes #441 - Fix git version information on travis build Fixes #440 - Make travis cache the iverilog build
* Use separate writer thread for talking to SMT solver to avoid read/write ↵Clifford Wolf2017-10-251-8/+23
| | | | deadlock
* Improve p_* functions in smtio.pyClifford Wolf2017-10-251-21/+19
|
* Disable OSX in .travis.ymlClifford Wolf2017-10-251-2/+2
|
* Add ENABLE_DEBUG config flagClifford Wolf2017-10-251-1/+10
|
* Update ABC to hg rev f6838749f234Clifford Wolf2017-10-251-1/+1
|
* Remove vhdl2verilogClifford Wolf2017-10-252-184/+0
|
* Capsulate smt-solver read/write in separate functionsClifford Wolf2017-10-251-8/+24
|
* Fix a bug in yosys-smtbmc in ROM handlingClifford Wolf2017-10-251-0/+3
|
* Remove PSL example from tests/sva/Clifford Wolf2017-10-202-35/+1
|
* Remove all PSL support code from verific.ccClifford Wolf2017-10-201-179/+17
|
* Merge pull request #437 from mithro/masterClifford Wolf2017-10-202-1/+14
|\ | | | | Adding COPYING file with license information.
| * Adding COPYING file with license information.Tim 'mithro' Ansell2017-10-192-1/+14
|/ | | | | This allows GitHub and other tools to detect the license info. Providing a COPYING for LICENSE file is also pretty standard.
* Revert 90be0d8 as it causes endless loops for some designsClifford Wolf2017-10-141-1/+0
|
* Add "verific -vlog-libdir"Clifford Wolf2017-10-131-0/+12
|
* Add "verific -vlog-incdir" and "verific -vlog-define"Clifford Wolf2017-10-131-0/+35
|
* Update Verific READMEClifford Wolf2017-10-131-0/+7
|
* Merge pull request #434 from Kmanfi/vector_fixClifford Wolf2017-10-121-0/+1
|\ | | | | Fix input vector for reduce cells.
| * Fix input vector for reduce cells.Kaj Tuomi2017-10-121-0/+1
| |
* | Add Verific fairness/liveness supportClifford Wolf2017-10-121-11/+32
|/
* Update ABC to hg rev 6283c5d99b06Clifford Wolf2017-10-111-1/+1
|
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-10-1028-211/+234
|\
| * Rewrite ABC output to include proper net names in timing reportClifford Wolf2017-10-101-2/+17
| |
| * Add timing constraints to osu035 exampleClifford Wolf2017-10-103-2/+4
| |
| * Remove some dead codeClifford Wolf2017-10-101-15/+0
| |
| * Allow $past, $stable, $rose, $fell in $global_clock blocksClifford Wolf2017-10-101-1/+5
| |
| * Add $shiftx support to verilog front-endClifford Wolf2017-10-071-0/+17
| |
| * Update ABC to hg rev 0fc1803a77c0Clifford Wolf2017-10-061-1/+1
| |
| * Clean whitespace and permissions in techlibs/intelLarry Doolittle2017-10-0521-190/+190
| |
* | Start work on pre-processor for Verific SVA propertiesClifford Wolf2017-10-101-10/+153
|/
* Improve handling of Verific errorsClifford Wolf2017-10-051-11/+9
|
* Improve Verific error handling, check VHDL static assertsClifford Wolf2017-10-041-11/+25
|
* Add blackbox commandClifford Wolf2017-10-042-0/+82
|
* Fix nasty bug in Verific bindingsClifford Wolf2017-10-041-1/+1
|
* Merge branch 'pr_ast_const_funcs' of https://github.com/udif/yosysClifford Wolf2017-10-032-14/+14
|\
| * Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-302-14/+14
| |
* | Merge branch 'fix_shift_reduce_conflict' of https://github.com/udif/yosysClifford Wolf2017-10-031-3/+5
|\ \
| * | Resolved classical Bison IF/THEN/ELSE shift/reduce conflict using the ↵Udi Finkelstein2017-09-301-3/+5
| |/ | | | | | | | | | | textbook solution (Oreilly 'Flex & Bison' page 189)
* | Merge branch 'dh73-master'Clifford Wolf2017-10-0331-729/+2965
|\ \ | |/ |/|
| * Rename "write_verilog -nobasenradix" to "write_verilog -decimal"Clifford Wolf2017-10-032-20/+14
| |
| * Tested and working altsyncarm without init filesdh732017-10-012-57/+59
| |
| * Fixed wrong declaration in Verilog backenddh732017-10-011-3/+3
| |
| * Adding Cyclone IV (E, GX), Arria 10, Cyclone V and LPM functions (ALTPLL and ↵dh732017-10-0131-730/+2970
|/ | | | M9K); M9K is not finished yet. Achronix Speedster also in this commit. Both Arria10 and Speedster-i are still experimental due complexity, but you can experiment around those devices right now
* Add first draft of eASIC back-endClifford Wolf2017-09-292-0/+191
|
* Fix synth_ice40 doc regarding -top defaultClifford Wolf2017-09-291-1/+1
|
* Allow $size and $bits in verilog mode, actually check test caseClifford Wolf2017-09-293-1/+3
|
* Merge pull request #425 from udif/udif_dollar_bitsClifford Wolf2017-09-292-1/+103
|\ | | | | Add $bits() and $size()
| * $size() now works correctly for all cases!Udi Finkelstein2017-09-262-22/+28
| | | | | | | | It seems the issues was that AST_MULTIRANGE is converted into a multirange_dimensions[] array on the AST_MEMORY node directly.
| * $size() seems to work now with or without the optional parameter.Udi Finkelstein2017-09-262-18/+58
| | | | | | | | Multidimensional arrays still don't work. I suspect the problem is that the array is flattened into a 1D array before $size() is evaluated.
| * enable $bits() and $size() functions only when the SystemVerilog flag is ↵Udi Finkelstein2017-09-261-1/+1
| | | | | | | | enabled for read_verilog