Commit message (Collapse) | Author | Age | Files | Lines | ||
---|---|---|---|---|---|---|
... | ||||||
| | * | | | | | | | | | | | | Merge pull request #1124 from mmicko/json_ports | Clifford Wolf | 2019-06-24 | 2 | -0/+16 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ | | | |_|_|_|/ / / / / / / / | | |/| | | | | | | | | | | | Add upto and offset to JSON ports | |||||
| | | * | | | | | | | | | | | Fix json formatting | Miodrag Milanovic | 2019-06-21 | 1 | -1/+1 | |
| | | | | | | | | | | | | | | ||||||
| | | * | | | | | | | | | | | Add upto and offset to JSON ports | Miodrag Milanovic | 2019-06-21 | 2 | -0/+16 | |
| | | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | | Merge remote-tracking branch 'origin/eddie/ram32x1d' into xc7mux | Eddie Hung | 2019-06-24 | 5 | -8/+72 | |
|\ \ \ \ \ \ \ \ \ \ \ \ \ \ | | |_|_|_|_|_|/ / / / / / / | |/| | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | Add RAM32X1D support | Eddie Hung | 2019-06-24 | 5 | -20/+73 | |
| | |/ / / / / / / / / / / | |/| | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Reduce MuxFx resources in mux techmapping | Eddie Hung | 2019-06-24 | 1 | -10/+30 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Reduce number of decomposed muxes during techmap | Eddie Hung | 2019-06-24 | 1 | -14/+11 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Revert "Fix techmapping muxes some more" | Eddie Hung | 2019-06-24 | 1 | -4/+4 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This reverts commit 0aae3b4f4361db6d2c6b9c8d69df041f40519cec. | |||||
* | | | | | | | | | | | | | Move comment | Eddie Hung | 2019-06-24 | 1 | -3/+3 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Fix techmapping muxes some more | Eddie Hung | 2019-06-24 | 1 | -4/+4 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Fix mux techmapping | Eddie Hung | 2019-06-24 | 1 | -19/+20 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Modify costs for muxcover | Eddie Hung | 2019-06-24 | 1 | -1/+15 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Revert Makefile | Eddie Hung | 2019-06-24 | 1 | -2/+2 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Change synth_xilinx's -nomux to -minmuxf <int> | Eddie Hung | 2019-06-24 | 3 | -54/+82 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Merge remote-tracking branch 'origin/xaig' into xc7mux | Eddie Hung | 2019-06-22 | 9 | -391/+85 | |
|\ \ \ \ \ \ \ \ \ \ \ \ \ | | |/ / / / / / / / / / / | |/| | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Add comments to ecp5 box | Eddie Hung | 2019-06-22 | 1 | -0/+6 | |
| | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Add comment to xc7 box | Eddie Hung | 2019-06-22 | 1 | -0/+3 | |
| | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Fix and cleanup ice40 boxes for carry in/out | Eddie Hung | 2019-06-22 | 4 | -313/+25 | |
| | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Carry in/out box ordering now move to end, not swap with end | Eddie Hung | 2019-06-22 | 2 | -38/+46 | |
| | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Remove DFF and RAMD box info for now | Eddie Hung | 2019-06-21 | 2 | -36/+0 | |
| | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Merge branch 'master' into xaig | Eddie Hung | 2019-06-21 | 1 | -1/+3 | |
| |\| | | | | | | | | | | | ||||||
| | * | | | | | | | | | | | Add 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG | Eddie Hung | 2019-06-21 | 1 | -1/+3 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Remove $_MUX4_ techmap rule | Eddie Hung | 2019-06-21 | 1 | -11/+0 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Fix wreduce call (!!!), tweak muxcover costs | Eddie Hung | 2019-06-21 | 1 | -5/+6 | |
| | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | Merge remote-tracking branch 'origin/xaig' into xc7mux | Eddie Hung | 2019-06-21 | 9 | -9/+158 | |
|\| | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig | Eddie Hung | 2019-06-21 | 15 | -59/+446 | |
| |\| | | | | | | | | | | | ||||||
| | * | | | | | | | | | | | Merge pull request #1108 from YosysHQ/clifford/fix1091 | Eddie Hung | 2019-06-21 | 2 | -46/+239 | |
| | |\ \ \ \ \ \ \ \ \ \ \ | | | |/ / / / / / / / / / | | |/| | | | | | | | | | | Add support for partial matches to muxcover | |||||
| | * | | | | | | | | | | | Merge pull request #1123 from mmicko/fix_typo | Clifford Wolf | 2019-06-21 | 1 | -1/+1 | |
| | |\ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Fix json frontend loading upto | |||||
| | | * | | | | | | | | | | | Fix typo | Miodrag Milanovic | 2019-06-21 | 1 | -1/+1 | |
| | |/ / / / / / / / / / / | ||||||
| | * | | | | | | | | | | | Merge pull request #1085 from YosysHQ/eddie/shregmap_improve | Eddie Hung | 2019-06-21 | 3 | -3/+129 | |
| | |\ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Improve shregmap to handle case where first flop is common to two chains | |||||
| | | * | | | | | | | | | | | Actually, there might not be any harm in updating sigmap... | Eddie Hung | 2019-06-20 | 1 | -3/+1 | |
| | | | | | | | | | | | | | | ||||||
| | | * | | | | | | | | | | | Add comment as per @cliffordwolf | Eddie Hung | 2019-06-20 | 1 | -0/+11 | |
| | | | | | | | | | | | | | | ||||||
| | | * | | | | | | | | | | | Add shregmap -tech xilinx test | Eddie Hung | 2019-06-12 | 2 | -2/+63 | |
| | | | | | | | | | | | | | | ||||||
| | | * | | | | | | | | | | | Revert "Try way that doesn't involve creating a new wire" | Eddie Hung | 2019-06-11 | 1 | -15/+10 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This reverts commit 2f427acc9ed23c77e89386f4fbf53ac580bf0f0b. | |||||
| | * | | | | | | | | | | | | Merge pull request #1122 from YosysHQ/clifford/jsonports | Clifford Wolf | 2019-06-21 | 2 | -0/+16 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Added JSON upto and offset | |||||
| | | * | | | | | | | | | | | | Added JSON upto and offset | Clifford Wolf | 2019-06-21 | 2 | -0/+16 | |
| | |/ / / / / / / / / / / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| | * | | | | | | | | | | | | Merge pull request #1121 from YosysHQ/ecp5-ccu2c-inv | Clifford Wolf | 2019-06-21 | 1 | -4/+5 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | ecp5: Improve mapping of $alu when BI is used | |||||
| | | * | | | | | | | | | | | | ecp5: Improve mapping of $alu when BI is used | David Shah | 2019-06-21 | 1 | -4/+5 | |
| | |/ / / / / / / / / / / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me> | |||||
| | * | | | | | | | | | | | | Merge pull request #1117 from bwidawsk/more-home | Clifford Wolf | 2019-06-21 | 2 | -0/+5 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Add a few more filename rewrites | |||||
| | | * | | | | | | | | | | | | Add a few more filename rewrites | Ben Widawsky | 2019-06-20 | 2 | -0/+5 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This now allows a full pipeline to work, something such as: yosys -p "synth_ecp5 -json ~/work/fpga/prjtrellis/examples/ecp5_evn/blinky.v" Otherwise, you will get something along the lines of: ERROR: Can't open output file `~/work/fpga/prjtrellis/examples/ecp5_evn/blinky.v' for writing: No such file or directory Signed-off-by: Ben Widawsky <ben@bwidawsk.net> | |||||
| | * | | | | | | | | | | | | | Merge pull request #1119 from YosysHQ/eddie/fix1118 | Clifford Wolf | 2019-06-21 | 2 | -0/+12 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Make genvar a signed type | |||||
| | * \ \ \ \ \ \ \ \ \ \ \ \ \ | Merge pull request #1116 from YosysHQ/eddie/fix1115 | Clifford Wolf | 2019-06-21 | 3 | -7/+41 | |
| | |\ \ \ \ \ \ \ \ \ \ \ \ \ \ | | | |_|_|_|_|_|_|/ / / / / / / | | |/| | | | | | | | | | | | | | Sign extend unsized 'bx and 'bz values | |||||
| | * | | | | | | | | | | | | | | Fix gcc invalidation behaviour for write_aiger | Eddie Hung | 2019-06-20 | 1 | -1/+2 | |
| | | | | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | | | Fix CHANGELOG | Eddie Hung | 2019-06-21 | 1 | -1/+3 | |
| | | | | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | | | | Merge remote-tracking branch 'origin/xaig' into xc7mux | Eddie Hung | 2019-06-21 | 1 | -16/+19 | |
|\| | | | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | | | Reduce log_debug spam in parse_xaiger() | Eddie Hung | 2019-06-21 | 1 | -16/+19 | |
| | | | | | | | | | | | | | | | | ||||||
* | | | | | | | | | | | | | | | | Merge remote-tracking branch 'origin/xaig' into xc7mux | Eddie Hung | 2019-06-21 | 1 | -1/+1 | |
|\| | | | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | | | Do not rename non LUT cells in abc9 | Eddie Hung | 2019-06-21 | 1 | -11/+16 | |
| | | | | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | | | Replace assert with error message | Eddie Hung | 2019-06-21 | 1 | -1/+2 | |
| | | | | | | | | | | | | | | | | ||||||
| * | | | | | | | | | | | | | | | Add log_push()/log_pop() inside write_xaiger | Eddie Hung | 2019-06-21 | 1 | -0/+4 | |
| | | | | | | | | | | | | | | | |