aboutsummaryrefslogtreecommitdiffstats
path: root/m6522.vhd
Commit message (Collapse)AuthorAgeFilesLines
* Get rid of an inferred latch in 6522. Doesn't seem to break anything!Mike Stirling2011-08-071-4/+4
|
* Added pin definitions for SD card interface. Fixed bug in 6522 ↵Mike Stirling2011-07-311-22/+18
| | | | shift-register implementation (shift on CB1 rising edge should occur even in SR disabled mode). Works with MMBEEB SD card interface ROM.
* Keyboard now working (needed to loop back slow bus outputs to inputs on ↵Mike Stirling2011-07-301-4/+1
| | | | system VIA). Added aux input to debugger for display of arbitrary hex values. Removed test IFR output from 6522
* Work in progress. Added PS/2 keyboard interface, hardware debugger. ↵Mike Stirling2011-07-291-12/+14
| | | | Interrupt test output to 6522 (remove later). Fixed error in pin assignments that blocked access to Flash chip select. Added reading sideways ROMs from external Flash (all but BASIC masked off for now).
* Boots to "BBC Computer" message with dummy keyboard and interrupts disabled ↵Mike Stirling2011-07-251-1/+0
| | | | (something is keeping the interrupt permanently asserted). Need to add paged ROMs in Flash (no more room in the FPGA).
* Register 6522 reads so that data is available outside of "phase 2" cycles, ↵Mike Stirling2011-07-171-31/+34
| | | | otherwise CPU can't see it
* Work in progress: Added MOS6522 from www.fpgaarcade.com. Added simple_uart ↵Mike Stirling2011-07-171-0/+889
component in "FRED" for test purposes and added alternative EHBASIC ROM for debugging