aboutsummaryrefslogtreecommitdiffstats
path: root/m6522.vhd
diff options
context:
space:
mode:
authorMike Stirling <opensource@mikestirling.co.uk>2011-07-25 22:17:35 +0100
committerMike Stirling <opensource@mikestirling.co.uk>2011-07-25 22:17:35 +0100
commit3ea54eb8b11e87333ad809c7bbfd9537c2fc8250 (patch)
tree780d9588c327fddc5a56a257193b6718efa72ba4 /m6522.vhd
parent9a5d41651b1e31f4cab5ee820f95a00c0a4baa67 (diff)
downloadfpga-bbc-3ea54eb8b11e87333ad809c7bbfd9537c2fc8250.tar.gz
fpga-bbc-3ea54eb8b11e87333ad809c7bbfd9537c2fc8250.tar.bz2
fpga-bbc-3ea54eb8b11e87333ad809c7bbfd9537c2fc8250.zip
Boots to "BBC Computer" message with dummy keyboard and interrupts disabled (something is keeping the interrupt permanently asserted). Need to add paged ROMs in Flash (no more room in the FPGA).
Diffstat (limited to 'm6522.vhd')
-rw-r--r--m6522.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/m6522.vhd b/m6522.vhd
index cb3e827..f0b974a 100644
--- a/m6522.vhd
+++ b/m6522.vhd
@@ -191,7 +191,6 @@ architecture RTL of M6522 is
signal final_irq : std_logic;
begin
-
p_phase : process
begin
-- internal clock phase