aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/concat01/concat01.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-07-19 06:41:39 +0200
committerTristan Gingold <tgingold@free.fr>2019-07-19 06:50:53 +0200
commit7f0a70e0d4777a2b9c29d6b17f2385d2d3bc1ced (patch)
treef12c13d6a1027815e6f0a2a778801c67b8116935 /testsuite/synth/concat01/concat01.vhdl
parent94912cf6e8862c9f5fe88f1e5314d4699f8e5659 (diff)
downloadghdl-7f0a70e0d4777a2b9c29d6b17f2385d2d3bc1ced.tar.gz
ghdl-7f0a70e0d4777a2b9c29d6b17f2385d2d3bc1ced.tar.bz2
ghdl-7f0a70e0d4777a2b9c29d6b17f2385d2d3bc1ced.zip
synth: add a test for concatenation.
Diffstat (limited to 'testsuite/synth/concat01/concat01.vhdl')
-rw-r--r--testsuite/synth/concat01/concat01.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/synth/concat01/concat01.vhdl b/testsuite/synth/concat01/concat01.vhdl
new file mode 100644
index 000000000..3fe968616
--- /dev/null
+++ b/testsuite/synth/concat01/concat01.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity concat01 is
+ port (a, b : in std_logic;
+ z : out std_logic_vector(1 downto 0));
+end concat01;
+
+architecture behav of concat01 is
+begin
+ z <= a & b;
+end behav;