aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/concat01/concat01.vhdl
blob: 3fe968616958a07a3f4be1a34e1936414a45122e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity concat01 is
  port (a, b : in std_logic;
        z : out std_logic_vector(1 downto 0));
end concat01;

architecture behav of concat01 is
begin
  z <= a & b;
end behav;