summaryrefslogtreecommitdiffstats
path: root/spdif.qsf
diff options
context:
space:
mode:
authorroot <root@no.no.james.local>2018-05-17 18:12:57 +0100
committerroot <root@no.no.james.local>2018-05-17 18:12:57 +0100
commit85b8cf5877ed7082564a47d94917ca7151977625 (patch)
tree31cec84d278a478105c3f12c9d04158315c40053 /spdif.qsf
parent3769dd04597e39140755bd4b92023570e6fcde3c (diff)
downloadrob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.tar.gz
rob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.tar.bz2
rob_spdif-85b8cf5877ed7082564a47d94917ca7151977625.zip
minor fixes, make clock simulator happy and fix AS programming
Diffstat (limited to 'spdif.qsf')
-rw-r--r--spdif.qsf1
1 files changed, 1 insertions, 0 deletions
diff --git a/spdif.qsf b/spdif.qsf
index 12a4f19..31333b7 100644
--- a/spdif.qsf
+++ b/spdif.qsf
@@ -24,6 +24,7 @@ set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_location_assignment PIN_143 -to spdif_in
set_location_assignment PIN_73 -to n_rst_in
+ set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to spdif_in
set_location_assignment PIN_112 -to n_mute_out