From 85b8cf5877ed7082564a47d94917ca7151977625 Mon Sep 17 00:00:00 2001 From: root Date: Thu, 17 May 2018 18:12:57 +0100 Subject: minor fixes, make clock simulator happy and fix AS programming --- spdif.qsf | 1 + 1 file changed, 1 insertion(+) (limited to 'spdif.qsf') diff --git a/spdif.qsf b/spdif.qsf index 12a4f19..31333b7 100644 --- a/spdif.qsf +++ b/spdif.qsf @@ -24,6 +24,7 @@ set_global_assignment -name FAMILY "Cyclone II" set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_location_assignment PIN_143 -to spdif_in set_location_assignment PIN_73 -to n_rst_in + set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4 set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to spdif_in set_location_assignment PIN_112 -to n_mute_out -- cgit v1.2.3