summaryrefslogtreecommitdiffstats
path: root/ccd.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'ccd.vhd')
-rw-r--r--ccd.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/ccd.vhd b/ccd.vhd
index 966369f..c4e66ad 100644
--- a/ccd.vhd
+++ b/ccd.vhd
@@ -42,7 +42,7 @@ begin
dflipflop port map (
n_reset => n_reset,
d => d1,
- clk => not clk,
+ clk => clk,
q => q
);