aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1046 from bogdanvuk/masterClifford Wolf2019-06-282-0/+17
|\
| * Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-2712-5/+878
| |\
| * | Refactor "opt_rmdff -sat"Clifford Wolf2019-06-202-14/+12
| * | Merge branch 'master' of https://github.com/bogdanvuk/yosys into clifford/ext...Clifford Wolf2019-06-202-0/+19
| |\ \
| | * \ Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-1443-32/+447
| | |\ \
| | * | | Optimizing DFFs whose initial value prevents their value from changingBogdan Vukobratovic2019-05-282-0/+19
* | | | | Merge pull request #1139 from YosysHQ/dave/check-sim-iverilogEddie Hung2019-06-271-0/+18
|\ \ \ \ \
| * | | | | Add simcells.v, simlib.v, and some outputEddie Hung2019-06-271-1/+11
| * | | | | tests: Check that Icarus can parse arch sim modelsDavid Shah2019-06-261-0/+8
| | |_|_|/ | |/| | |
* | | | | Merge pull request #1143 from YosysHQ/clifford/fix1135Eddie Hung2019-06-272-5/+26
|\ \ \ \ \
| * | | | | Add #1135 testcaseEddie Hung2019-06-272-5/+26
| |/ / / /
* / / / / Copy tests from eddie/fix1132Eddie Hung2019-06-271-0/+320
|/ / / /
* | | | Add testcase from #335, fixed by #1130Eddie Hung2019-06-251-0/+28
* | | | Merge pull request #1130 from YosysHQ/eddie/fix710Clifford Wolf2019-06-252-1/+22
|\ \ \ \
| * | | | Add testEddie Hung2019-06-242-1/+22
* | | | | Merge remote-tracking branch 'origin/master' into eddie/muxpackEddie Hung2019-06-225-1/+298
|\| | | |
| * | | | Merge pull request #1108 from YosysHQ/clifford/fix1091Eddie Hung2019-06-211-1/+140
| |\ \ \ \
| | * | | | Missing a `clean` and `opt_expr -mux_bool` in testEddie Hung2019-06-201-0/+4
| | * | | | Add testEddie Hung2019-06-201-1/+136
| * | | | | Merge pull request #1085 from YosysHQ/eddie/shregmap_improveEddie Hung2019-06-212-0/+114
| |\ \ \ \ \
| | * | | | | Add shregmap -tech xilinx testEddie Hung2019-06-122-2/+63
| | * | | | | Add testEddie Hung2019-06-102-0/+53
| | | |_|_|/ | | |/| | |
| * | | | | Merge pull request #1119 from YosysHQ/eddie/fix1118Clifford Wolf2019-06-211-0/+11
| |\ \ \ \ \
| | * | | | | Add testEddie Hung2019-06-201-0/+11
| | | |/ / / | | |/| | |
| * | | | | Extend sign extension testsEddie Hung2019-06-201-4/+16
| * | | | | Remove leftover commentEddie Hung2019-06-201-3/+0
| * | | | | Add testEddie Hung2019-06-201-0/+24
| |/ / / /
* | | | | Add more testsEddie Hung2019-06-212-21/+51
* | | | | Fix testcaseEddie Hung2019-06-211-3/+4
* | | | | Add more muxpack tests, with overlapping entriesEddie Hung2019-06-212-1/+84
* | | | | Merge branch 'master' into eddie/muxpackEddie Hung2019-06-216-21/+62
|\| | | |
| * | | | Update some .gitignore filesClifford Wolf2019-06-202-3/+3
| | |/ / | |/| |
| * | | Add proper test for SV-style arraysClifford Wolf2019-06-203-6/+16
| * | | Merge branch 'unpacked_arrays' of https://github.com/towoe/yosys-sv into towo...Clifford Wolf2019-06-202-0/+6
| |\ \ \
| | * | | Unpacked array declaration using sizeTobias Wölfel2019-06-192-0/+6
| | |/ /
| * | | Merge pull request #1105 from YosysHQ/clifford/fixlogicinitClifford Wolf2019-06-192-14/+37
| |\ \ \
| | * | | Add defvalue test, minor autotest fixes for .sv filesClifford Wolf2019-06-192-14/+37
| | |/ /
| * / / Make tests/aiger less chattyClifford Wolf2019-06-191-4/+6
| |/ /
* | | Merge remote-tracking branch 'origin/master' into eddie/muxpackEddie Hung2019-06-101-1/+6
|\| |
| * | Add some more commentsEddie Hung2019-06-101-1/+6
* | | Merge branch 'master' into eddie/muxpackEddie Hung2019-06-0728-33/+138
|\| |
| * | Test *.aag too, by using *.aig as referenceEddie Hung2019-06-071-0/+19
| * | Use ABC to convert from AIGER to VerilogEddie Hung2019-06-071-2/+3
| * | Use ABC to convert AIGER to Verilog, then sat against YosysEddie Hung2019-06-071-21/+15
| * | Add symbols to AIGER test inputs for ABCEddie Hung2019-06-0722-8/+40
| * | Merge pull request #1077 from YosysHQ/clifford/pr983Clifford Wolf2019-06-072-0/+31
| |\ \
| | * \ Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-072-0/+31
| | |\ \
| | | * | Initial implementation of elaboration system tasksUdi Finkelstein2019-05-032-0/+31
| * | | | Rename implicit_ports.sv test to implicit_ports.vClifford Wolf2019-06-071-0/+0
| |/ / /
| * | | Cleanup tux3-implicit_named_connectionClifford Wolf2019-06-072-12/+1