aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
Commit message (Collapse)AuthorAgeFilesLines
* verific: Use new value change logic also for $stable of wide signals.Jannis Harder2022-05-112-2/+43
| | | | I missed this in the previous PR.
* Merge pull request #3305 from jix/sva_value_change_logicJannis Harder2022-05-097-1/+96
|\ | | | | verific: Improve logic generated for SVA value change expressions
| * verific: Improve logic generated for SVA value change expressionsJannis Harder2022-05-097-1/+96
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | The previously generated logic assumed an unconstrained past value in the initial state and did not handle 'x values. While the current formal verification flow uses 2-valued logic, SVA value change expressions require a past value of 'x during the initial state to behave in the expected way (i.e. to consider both an initial 0 and an initial 1 as $changed and an initial 1 as $rose and an initial 0 as $fell). This patch now generates logic that at the same time a) provides the expected behavior in a 2-valued logic setting, not depending on any dont-care optimizations, and b) properly handles 'x values in yosys simulation
* | Merge pull request #3297 from jix/sva_nested_clk_elseJannis Harder2022-05-091-0/+11
|\ \ | |/ |/| verific: Fix conditions of SVAs with explicit clocks within procedures
| * verific: Fix conditions of SVAs with explicit clocks within proceduresJannis Harder2022-05-031-0/+11
| | | | | | | | | | | | | | | | | | For SVAs that have an explicit clock and are contained in a procedure which conditionally executes the assertion, verific expresses this using a mux with one input connected to constant 1 and the other output connected to an SVA_AT. The existing code only handled the case where the first input is connected to 1. This patch also handles the other case.
* | Fix running sva testsMiodrag Milanovic2022-05-091-4/+3
|/
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-0/+22
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Squelch a little more trailing whitespaceLarry Doolittle2018-12-291-1/+1
|
* Major redesign of Verific SVA importerClifford Wolf2018-02-271-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add support for SVA throughout via VerificClifford Wolf2018-02-211-1/+1
|
* Add support for SVA sequence concatenation ranges via verificClifford Wolf2018-02-182-0/+20
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add support for SVA until statements via VerificClifford Wolf2018-02-181-0/+19
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFFClifford Wolf2018-02-151-0/+34
|
* Remove PSL example from tests/sva/Clifford Wolf2017-10-202-35/+1
|
* Add simple VHDL+PSL exampleClifford Wolf2017-07-284-17/+64
|
* Improve Verific SVA importerClifford Wolf2017-07-271-7/+8
|
* Add counter.sv SVA testClifford Wolf2017-07-271-0/+29
|
* Improve SVA tests, add Makefile and scriptsClifford Wolf2017-07-2711-9/+110
|
* Add more SVA test cases for future Verific workClifford Wolf2017-07-225-1/+74
|
* Add some simple SVA test cases for future Verific workClifford Wolf2017-07-224-0/+45