aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Add _nowide variants of LUT libraries in -nowidelut flowsEddie Hung2019-06-264-13/+44
* Merge branch 'xaig' of github.com:YosysHQ/yosys into xaigEddie Hung2019-06-261-2/+10
|\
| * abc9: Add wire delays to synth_ice40David Shah2019-06-261-2/+10
* | Merge branch 'koriakin/xc7nocarrymux' into xaigEddie Hung2019-06-262-9/+26
|\ \ | |/ |/|
| * synth_ecp5 rename -nomux to -nowidelut, but preserve formerEddie Hung2019-06-261-6/+6
| * Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriak...Eddie Hung2019-06-261-4/+24
| |\
| | * synth_xilinx: Add -nocarry and -nomux options.Marcin Koƛcielnicki2019-04-301-7/+26
* | | Realistic delays for RAM32X1D tooEddie Hung2019-06-251-2/+2
* | | Add RAM32X1D box infoEddie Hung2019-06-252-4/+12
* | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-255-8/+72
|\| |
| * | Add RAM32X1D supportEddie Hung2019-06-245-20/+73
* | | Use LUT delays for dist RAM delaysEddie Hung2019-06-241-4/+4
* | | Re-enable dist RAM boxes for ECP5Eddie Hung2019-06-241-1/+1
* | | Revert "Re-enable dist RAM boxes for ECP5"Eddie Hung2019-06-241-1/+1
* | | Re-enable dist RAM boxes for ECP5Eddie Hung2019-06-241-1/+1
* | | Add Xilinx dist RAM as comb boxesEddie Hung2019-06-242-0/+16
* | | Add comments to ecp5 boxEddie Hung2019-06-221-0/+6
* | | Add comment to xc7 boxEddie Hung2019-06-221-0/+3
* | | Fix and cleanup ice40 boxes for carry in/outEddie Hung2019-06-224-313/+25
* | | Carry in/out box ordering now move to end, not swap with endEddie Hung2019-06-221-12/+12
* | | Remove DFF and RAMD box info for nowEddie Hung2019-06-212-36/+0
* | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-211-4/+5
|\| |
| * | ecp5: Improve mapping of $alu when BI is usedDavid Shah2019-06-211-4/+5
* | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-201-1/+1
|\| |
| * | Fixed small typo in ice40_unlut help summaryacw12512019-06-191-1/+1
| * | Fixed the help summary line for a few commandsacw12512019-06-191-1/+1
* | | Call opt_expr -mux_undef to get rid of 1'bx in muxes prior to abcEddie Hung2019-06-201-0/+1
* | | Really permute Xilinx LUT mappings as default LUT6.I5:A6Eddie Hung2019-06-181-16/+16
* | | Revert "Fix (do not) permute LUT inputs, but permute mux selects"Eddie Hung2019-06-181-33/+31
* | | Clean upEddie Hung2019-06-181-6/+4
* | | Fix (do not) permute LUT inputs, but permute mux selectsEddie Hung2019-06-181-31/+33
* | | Fix copy-pasta issueEddie Hung2019-06-171-9/+8
* | | Permute INIT for +/xilinx/lut_map.vEddie Hung2019-06-171-32/+58
* | | Simplify commentEddie Hung2019-06-171-1/+1
* | | Update LUT7/8 delays to take account for [ABC]OUTMUX delayEddie Hung2019-06-171-5/+5
* | | Try -W 300Eddie Hung2019-06-171-1/+2
* | | Fix upper XC7 LUT[78] delays to use I[01] -> O delay not S -> OEddie Hung2019-06-151-2/+2
* | | As per @daveshah1 remove async DFF timing from xilinxEddie Hung2019-06-141-2/+2
* | | Resolve comments from @daveshah1Eddie Hung2019-06-141-1/+1
* | | Add XC7_WIRE_DELAY macro to synth_xilinx.ccEddie Hung2019-06-141-1/+3
* | | Update delays based on SymbiFlow/prjxray-dbEddie Hung2019-06-141-12/+13
* | | Rename +/xilinx/abc.{box,lut} -> abc_xc7.{box,lut}Eddie Hung2019-06-144-3/+3
* | | Comment out dist RAM boxing on ECP5 for nowEddie Hung2019-06-141-1/+1
* | | Remove WIP ABC9 flop supportEddie Hung2019-06-144-46/+46
* | | Make doc consistentEddie Hung2019-06-143-3/+6
* | | ecp5: Add abc9 optionDavid Shah2019-06-146-70/+184
* | | Fix name clashEddie Hung2019-06-131-4/+8
* | | Fix LP SB_LUT4 timingEddie Hung2019-06-131-1/+1
* | | Move neg-pol to pos-pol mapping from ff_map to cells_map.vEddie Hung2019-06-121-0/+8
* | | Reduce diff with masterEddie Hung2019-06-121-1/+1