aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* synth_ice40: use 4-LUT coarse synthesis mode.whitequark2019-01-021-1/+1
* synth: add k-LUT mode.whitequark2019-01-021-2/+36
* synth: improve script documentation. NFC.whitequark2019-01-021-6/+6
* cmp2lut: new techmap pass.whitequark2019-01-022-1/+106
* Merge pull request #766 from Icenowy/anlogic-latchesClifford Wolf2018-12-311-0/+12
|\
| * anlogic: add latch cellsIcenowy Zheng2018-12-251-0/+12
* | Fix 7 instances of add_share_file to add_gen_share_fileLarry Doolittle2018-12-291-8/+8
|/
* Merge pull request #752 from Icenowy/anlogic-lut-costClifford Wolf2018-12-191-1/+1
|\
| * Anlogic: let LUT5/6 have more cost than LUT4-Icenowy Zheng2018-12-191-1/+1
* | Merge pull request #753 from Icenowy/anlogic-makefile-fixClifford Wolf2018-12-191-0/+1
|\ \
| * | anlogic: fix Makefile.incIcenowy Zheng2018-12-191-0/+1
| |/
* / anlogic: fix dbits of Anlogic Eagle DRAM16X4Icenowy Zheng2018-12-181-1/+1
|/
* anlogic: add support for Eagle Distributed RAMIcenowy Zheng2018-12-174-1/+43
* Revert "Leave only real black box cells"Icenowy Zheng2018-12-171-0/+312
* Rename "fine:" label to "map:" in "synth_ice40"Clifford Wolf2018-12-161-1/+1
* Merge pull request #724 from whitequark/equiv_optClifford Wolf2018-12-161-0/+2
|\
| * equiv_opt: pass -D EQUIV when techmapping.whitequark2018-12-071-0/+2
* | Merge pull request #730 from smunaut/ffssr_dont_touchClifford Wolf2018-12-161-0/+3
|\ \
| * | ice40: Honor the "dont_touch" attribute in FFSSR passSylvain Munaut2018-12-081-0/+3
| |/
* | Merge pull request #725 from olofk/ram4k-initClifford Wolf2018-12-161-0/+19
|\ \
| * | Only use non-blocking assignments of SB_RAM40_4K for yosysOlof Kindgren2018-12-061-0/+19
| |/
* / synth_ice40: split `map_gates` off `fine`.whitequark2018-12-061-0/+4
|/
* synth_ice40: add -noabc option, to use built-in LUT techmapping.whitequark2018-12-051-2/+16
* gate2lut: new techlib, for converting Yosys gates to FPGA LUTs.whitequark2018-12-052-0/+88
* Fix typo.whitequark2018-12-051-2/+2
* Merge pull request #713 from Diego-HR/masterClifford Wolf2018-12-055-12/+91
|\
| * Changes in GoWin synth commands and ALU primitive supportDiego H2018-12-035-12/+91
* | Merge pull request #712 from mmicko/anlogic-supportClifford Wolf2018-12-057-0/+1278
|\ \
| * | Leave only real black box cellsMiodrag Milanovic2018-12-021-312/+0
| * | Initial support for Anlogic FPGAMiodrag Milanovic2018-12-017-0/+1590
| |/
* | opt_lut: add -dlogic, to avoid disturbing logic such as carry chains.whitequark2018-12-051-2/+2
* | synth_ice40: add -relut option, to run ice40_unlut and opt_lut.whitequark2018-12-051-1/+13
* | Extract ice40_unlut pass from ice40_opt.whitequark2018-12-053-13/+109
* | ice40: Add option to only use CE if it'd be use by more than X FFsSylvain Munaut2018-11-271-0/+14
|/
* Merge pull request #697 from eddiehung/xilinx_ps7Clifford Wolf2018-11-122-0/+624
|\
| * Add support for Xilinx PS7 blockEddie Hung2018-11-102-0/+624
* | Merge pull request #695 from daveshah1/ecp5_bbClifford Wolf2018-11-122-1/+420
|\ \ | |/ |/|
| * ecp5: Add 'fake' DCU parametersDavid Shah2018-11-091-0/+11
| * ecp5: Add blackboxes for ancillary DCU cellsDavid Shah2018-11-091-0/+18
| * ecp5: Adding some blackbox cellsDavid Shah2018-11-072-1/+391
* | Fix sf2 LUT interfaceClifford Wolf2018-10-312-12/+12
* | Basic SmartFusion2 and IGLOO2 synthesis supportClifford Wolf2018-10-315-0/+377
|/
* ecp5: Remove DSP parameters that don't workDavid Shah2018-10-221-21/+0
* ecp5: Add DSP blackboxesDavid Shah2018-10-213-1/+118
* ecp5: Sim model fixesDavid Shah2018-10-191-3/+5
* ecp5: Add latch inferenceDavid Shah2018-10-193-3/+12
* Merge pull request #657 from mithro/xilinx-vprClifford Wolf2018-10-181-3/+2
|\
| * xilinx: Still map LUT7/LUT8 to Xilinx specific primitives.Tim 'mithro' Ansell2018-10-081-3/+2
* | ecp5: Disable LSR inversionDavid Shah2018-10-162-21/+21
* | BRAM improvementsDavid Shah2018-10-121-11/+16