aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
...
* synth_gatemate: Apply review remarksPatrick Urban2021-11-135-279/+211
* synth_gatemate: Apply review remarksPatrick Urban2021-11-135-141/+86
* synth_gatemate: Initial implementationPatrick Urban2021-11-1315-0/+3716
* iopadmap: Add native support for negative-polarity output enable.Marcelina Kościelnicka2021-11-099-33/+10
* synth_gowin: move splitnets to after iopadmap (#2435)Pepijn de Vos2021-11-071-2/+3
* Remove noalu from synth_gowin json output as Apicula now supports itPepijn de Vos2021-11-071-1/+0
* gowin: widelut support (#3042)Pepijn de Vos2021-11-061-1/+0
* ecp5: Add support for mapping aldff.Marcelina Kościelnicka2021-10-272-13/+13
* Fixed Verific parser error in ice40 cell libraryClaire Xenia Wolf2021-10-191-22/+62
* CycloneV: Add (passthrough) support for cyclonev_oscillatorOlivier Galibert2021-10-171-1/+11
* CycloneV: Add (passthrough) support for cyclonev_hps_interface_mpu_general_pu...Olivier Galibert2021-10-171-0/+8
* Hook up $aldff support in various passes.Marcelina Kościelnicka2021-10-021-1/+1
* Add $aldff and $aldffe: flip-flops with async load.Marcelina Kościelnicka2021-10-023-0/+382
* abc9: replace cell type/parameters if derived type already processed (#2991)Eddie Hung2021-09-091-1/+1
* [ECP5] fix wrong link for syn_* attributes description (#2984)kittennbfive2021-08-292-2/+2
* Add DLLDELDECP5-PCIe2021-08-221-0/+9
* Gowin: deal with active-low tristate (#2971)Pepijn de Vos2021-08-204-6/+13
* ice40: Fix typo in SB_CARRY specify for LP/UltraPlusSylvain Munaut2021-08-171-2/+2
* Add v2 memory cells.Marcelina Kościelnicka2021-08-111-0/+169
* Fixes xc7 BRAM36sMaciej Dudek2021-07-301-4/+6
* opt_lut: Allow more than one -dlogic per cell type.Marcelina Kościelnicka2021-07-291-1/+1
* memory: Introduce $meminit_v2 cell, with EN input.Marcelina Kościelnicka2021-07-281-0/+24
* ice40: Fix LUT input indices in opt_lut -dlogic (again).Marcelina Kościelnicka2021-07-101-1/+1
* ecp5: Add DCSC blackboxgatecat2021-07-061-0/+10
* Fix icestorm linksClaire Xenia Wolf2021-06-092-516/+516
* Use HTTPS for website links, gatecat emailClaire Xenia Wolf2021-06-096-6/+6
* Fix files with CRLF line endingsClaire Xenia Wolf2021-06-092-349/+349
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-0858-64/+64
* intel_alm: Fix illegal carry chainsgatecat2021-05-152-3/+5
* intel_alm: Add global buffer insertiongatecat2021-05-156-4/+78
* intel_alm: Add IO buffer insertiongatecat2021-05-156-7/+127
* Add missing parameters for MULT18X18D and ALU54B to ECP5 techlib.Adam Greig2021-05-121-0/+22
* Fix use of blif name in synth_xilinx commandMichael Christensen2021-04-271-1/+1
* Add default assignments to other SB_* simulation modelsClaire Xenia Wolf2021-04-201-24/+44
* Add default assignments to SB_LUT4Claire Xenia Wolf2021-04-201-1/+17
* quicklogic: ABC9 synthesisLofty2021-04-176-5/+80
* sf2: fix name of AND modulesStefan Riesenberger2021-04-091-3/+3
* abc9: fix SCC issues (#2694)Eddie Hung2021-03-292-0/+9
* quicklogic: PolarPro 3 supportLofty2021-03-189-0/+770
* Blackbox all whiteboxes after synthesisgatecat2021-03-1715-0/+15
* memory_dff: Remove now-useless write port handling.Marcelina Kościelnicka2021-03-081-6/+7
* Fix syntax error in adff2dff.vMarcelina Kościelnicka2021-02-241-1/+1
* machxo2: Switch to LUT4 sim model which propagates less undefined/don't care ...William D. Jones2021-02-231-11/+5
* machxo2: Add experimental status to help.William D. Jones2021-02-231-1/+1
* machxo2: Add DCCA and DCMA blackbox primitives.William D. Jones2021-02-231-0/+17
* machxo2: Fix reversed interpretation of REG_SD config bits.William D. Jones2021-02-231-2/+2
* machxo2: Tristate is active-low.William D. Jones2021-02-232-5/+5
* machxo2: Fix typos in FACADE_FF sim model.William D. Jones2021-02-231-5/+4
* machxo2: Fix naming of TRELLIS_IO ports to match PIO pins in routing graph.William D. Jones2021-02-232-6/+6
* machxo2: Improve help_mode output in synth_machxo2.William D. Jones2021-02-231-5/+5