aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_sim.v
Commit message (Expand)AuthorAgeFilesLines
* Update xilinx for ABC9Eddie Hung2020-02-271-8/+15
* Fix commented out specify statementEddie Hung2020-02-271-6/+6
* xilinx: improve specify functionalityEddie Hung2020-02-271-420/+445
* xilinx: use specify blocks in place of abc9_{arrival,required}Eddie Hung2020-02-271-176/+404
* Auto-generate .box/.lut files from specify blocksEddie Hung2020-02-271-3/+70
* abc9_ops: -prep_lut and -write_lut to auto-generate LUT libraryEddie Hung2020-02-271-0/+83
* Merge pull request #1661 from YosysHQ/eddie/abc9_requiredEddie Hung2020-02-051-81/+314
|\
| * abc9_ops: generate flop box ids, add abc9_required to FD* cellsEddie Hung2020-01-141-12/+45
| * Add abc9_required to DSP48E1.{A,B,C,D,PCIN}Eddie Hung2020-01-101-38/+117
| * Merge remote-tracking branch 'origin/eddie/abc9_refactor' into eddie/abc9_req...Eddie Hung2020-01-081-3/+80
| |\
| * \ Merge remote-tracking branch 'origin/eddie/abc9_refactor' into xaig_arrival_r...Eddie Hung2020-01-061-59/+68
| |\ \
| * | | Update some abc9_arrival times, add abc9_required timesEddie Hung2019-12-271-24/+164
* | | | xilinx: Add simulation model for DSP48 (Virtex 4).Marcin Kościelnicki2020-01-291-1/+229
| |_|/ |/| |
* | | Merge branch 'master' of github.com:YosysHQ/yosysEddie Hung2020-01-061-51/+59
|\ \ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2020-01-061-0/+77
| |\ \ \ | | |_|/ | |/| |
| * | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2020-01-021-21/+41
| |\ \ \
| * | | | Re-arrange FD orderEddie Hung2019-12-311-77/+77
| * | | | FDCE ports to be alphabeticalEddie Hung2019-12-311-3/+3
| * | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-301-3/+3
| |\ \ \ \ | | | |_|/ | | |/| |
| * | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-201-24/+10
| |\ \ \ \
| * \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-201-4/+197
| |\ \ \ \ \
| * | | | | | Split into $__ABC9_ASYNC[01], do not add cell->type to clkdomain_tEddie Hung2019-12-191-8/+8
| * | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-191-12/+47
| |\ \ \ \ \ \
| * \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-061-0/+797
| |\ \ \ \ \ \ \
| * | | | | | | | Oh deary meEddie Hung2019-12-041-4/+4
| * | | | | | | | Merge remote-tracking branch 'origin/eddie/write_xaiger_improve' into xaig_dffEddie Hung2019-11-271-0/+28
| |\ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-251-1/+5
| |\ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-191-0/+522
| |\ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | Do not require changes to cells_sim.v; try and work out comb modelEddie Hung2019-10-051-208/+16
| * | | | | | | | | | | Merge remote-tracking branch 'origin/eddie/abc_to_abc9' into xaig_dffEddie Hung2019-10-041-47/+47
| |\ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | More fixesEddie Hung2019-10-011-16/+16
| * | | | | | | | | | | | Escape Verilog identifiers for legality outside of YosysEddie Hung2019-10-011-48/+48
| * | | | | | | | | | | | Remove need for $currQ port connectionEddie Hung2019-09-301-80/+80
| * | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-09-301-0/+44
| |\ \ \ \ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-09-291-0/+463
| |\ \ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | | FDCE_1 does not have IS_CLR_INVERTEDEddie Hung2019-09-291-1/+1
| * | | | | | | | | | | | | | Big rework; flop info now mostly in cells_sim.vEddie Hung2019-09-281-47/+247
* | | | | | | | | | | | | | | Fix DSP48E1 simEddie Hung2020-01-061-3/+3
| |_|_|_|_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | | | | |
* | | | | | | | | | | | | | Wrap arrival functions inside `YOSYS tooEddie Hung2020-01-061-0/+2
* | | | | | | | | | | | | | Fix return value of arrival time functions, fix wordEddie Hung2020-01-061-18/+14
* | | | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into eddie/abc9_dsp_refactorEddie Hung2020-01-021-6/+6
|\ \ \ \ \ \ \ \ \ \ \ \ \ \ | | |_|_|_|_|_|_|_|_|_|_|_|/ | |/| | | | | | | | | | | |
| * | | | | | | | | | | | | ifdef __ICARUS__ -> ifndef YOSYSEddie Hung2020-01-011-6/+6
* | | | | | | | | | | | | | ifndef __ICARUS__ -> ifdef YOSYSEddie Hung2020-01-011-2/+2
* | | | | | | | | | | | | | Rework abc9's DSP48E1 modelEddie Hung2020-01-011-0/+79
|/ / / / / / / / / / / / /
* | | | | | | | | | | | / Update timings for Xilinx S7 cellsEddie Hung2019-12-301-15/+35
| |_|_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | | |
* | | | | | | | | | | | xilinx: Test our DSP48A/DSP48A1 simulation models.Marcin Kościelnicki2019-12-231-3/+3
| |_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | |
* | | | | | | | | | | Add abc9_arrival times for RAM{32,64}MEddie Hung2019-12-201-24/+10
| |_|_|_|_|_|_|_|_|/ |/| | | | | | | | |
* | | | | | | | | | xilinx: Add simulation models for remaining CLB primitives.Marcin Kościelnicki2019-12-191-4/+197
| |_|_|_|_|_|_|_|/ |/| | | | | | | |
* | | | | | | | | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-0/+35
* | | | | | | | | RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8