aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/abc9_map.v
Commit message (Expand)AuthorAgeFilesLines
* xilinx: improve specify functionalityEddie Hung2020-02-271-20/+66
* abc9: deprecate abc9_ff.init wire for (* abc9_init *) attrEddie Hung2020-02-131-11/+12
* abc9: cleanupEddie Hung2020-02-101-40/+40
* Adding (* techmap_autopurge *) to FD* in abc9_map.vEddie Hung2020-01-141-8/+8
* Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2020-01-061-231/+106
|\
| * Drive $[ABCD] explicitlyEddie Hung2020-01-021-15/+21
| * Rework abc9's DSP48E1 modelEddie Hung2020-01-011-233/+102
| * Add RAM{32,64}M to abc9_map.vEddie Hung2019-12-201-0/+78
| * abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
* | Update commentsEddie Hung2020-01-021-11/+6
* | abc9 -keepff -> -dff; refactor dff operationsEddie Hung2020-01-021-55/+55
* | Restore abc9 -keepffEddie Hung2020-01-011-85/+3
* | Re-arrange FD orderEddie Hung2019-12-311-83/+83
* | Cleanup xilinx boxesEddie Hung2019-12-311-0/+3
* | Fix incorrect $__ABC9_ASYNC[01] boxEddie Hung2019-12-311-2/+2
* | Tidy up abc9_map.vEddie Hung2019-12-301-103/+103
* | Add "synth_xilinx -dff" option, cleanup abc9Eddie Hung2019-12-301-0/+84
* | Add RAM{32,64}M to abc9_map.vEddie Hung2019-12-191-0/+78
* | Split into $__ABC9_ASYNC[01], do not add cell->type to clkdomain_tEddie Hung2019-12-191-14/+18
* | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
* | Remove creation of $abc9_control_wireEddie Hung2019-12-061-16/+6
* | abc9 to use mergeability class to differentiate sync/asyncEddie Hung2019-12-061-12/+15
* | Revert "Special abc9_clock wire to contain only clock signal"Eddie Hung2019-12-051-10/+12
* | Missing wire declarationEddie Hung2019-12-041-0/+1
* | abc9_map.v to transform INIT=1 to INIT=0Eddie Hung2019-12-041-118/+201
* | output reg Q -> output Q to suppress warningEddie Hung2019-12-041-8/+8
* | abc9_map.v to do `zinit' and make INIT = 1'b0Eddie Hung2019-12-041-70/+112
* | Add abc9_init wire, attach to abc9_flop cellEddie Hung2019-12-031-2/+12
* | Revert "Add INIT value to abc9_control"Eddie Hung2019-12-031-8/+8
* | Add INIT value to abc9_controlEddie Hung2019-12-021-8/+8
* | Special abc9_clock wire to contain only clock signalEddie Hung2019-11-251-12/+10
* | Merge branch 'eddie/xaig_dff_adff' into xaig_dffEddie Hung2019-11-211-12/+16
|\ \
| * | Do not drop async control signals in abc_map.vEddie Hung2019-11-191-12/+16
* | | Fix INIT valuesEddie Hung2019-11-201-4/+4
|/ /
* | CleanupEddie Hung2019-10-071-7/+2
* | Rename $currQ to $abc9_currQEddie Hung2019-10-071-46/+46
* | Update comments in abc9_map.vEddie Hung2019-10-071-131/+57
* | Do not require changes to cells_sim.v; try and work out comb modelEddie Hung2019-10-051-17/+182
* | Fix merge issuesEddie Hung2019-10-041-9/+9
* | Merge remote-tracking branch 'origin/eddie/abc_to_abc9' into xaig_dffEddie Hung2019-10-041-0/+135
|/
* Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-0/+447