aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common
Commit message (Expand)AuthorAgeFilesLines
* Add v2 memory cells.Marcelina Kościelnicka2021-08-111-0/+169
* memory: Introduce $meminit_v2 cell, with EN input.Marcelina Kościelnicka2021-07-281-0/+24
* Fix files with CRLF line endingsClaire Xenia Wolf2021-06-091-318/+318
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-086-7/+7
* abc9: fix SCC issues (#2694)Eddie Hung2021-03-292-0/+9
* memory_dff: Remove now-useless write port handling.Marcelina Kościelnicka2021-03-081-6/+7
* Fix syntax error in adff2dff.vMarcelina Kościelnicka2021-02-241-1/+1
* verilog: significant block scoping improvementsZachary Snow2021-01-313-46/+45
* Fix some trivial typos.Tom Verbeure2021-01-031-5/+5
* Merge pull request #2347 from YosysHQ/mwk/techmap-shift-fixesclairexen2020-08-201-67/+35
|\
| * techmap/shift_shiftx: Remove the "shiftx2mux" special path.Marcelina Kościelnicka2020-08-201-67/+35
* | Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-patternclairexen2020-08-201-1/+1
|\ \ | |/ |/|
| * techmap: Add support for [] wildcards in techmap_celltype.Marcelina Kościelnicka2020-08-021-1/+1
* | Respect \A_SIGNED for $shiftXiretza2020-08-182-6/+16
* | Replace opt_rmdff with opt_dff.Marcelina Kościelnicka2020-08-071-3/+3
|/
* simcells: Fix reset polarity for $_DLATCH_???_ cells.Marcelina Kościelnicka2020-06-302-5/+5
* Add new FF types to simplemap.Marcelina Kościelnicka2020-06-231-1/+1
* Add new builtin FF typesMarcelina Kościelnicka2020-06-233-0/+2293
* Use C++11 final/override keywords.whitequark2020-06-182-8/+8
* Do not optimize away FFs in "prep" and Verific fron-endClaire Wolf2020-06-091-2/+2
* Merge pull request #2077 from YosysHQ/eddie/abc9_dff_improveEddie Hung2020-06-041-1/+1
|\
| * abc9_ops: -reintegrate use SigMap to remove (* init *) from $_DFF_[NP]_Eddie Hung2020-05-291-1/+1
* | Add flooring division operatorXiretza2020-05-282-0/+71
* | Add flooring modulo operatorXiretza2020-05-282-3/+124
|/
* Add force_downto and force_upto wire attributes.Marcelina Kościelnicka2020-05-196-23/+88
* abc9: use (* abc9_keep *) instead of (* abc9_scc *); apply to $_DFF_?_Eddie Hung2020-05-142-14/+2
* abc9: preserve $_DFF_?_.Q's (* init *); rely on clean to remove itEddie Hung2020-05-142-5/+4
* abc9_ops/xaiger: further reducing Module::derive() calls by ...Eddie Hung2020-05-142-7/+5
* Cleanup; reduce Module::derive() callsEddie Hung2020-05-142-4/+4
* abc9: only do +/abc9_map if `DFFEddie Hung2020-05-141-0/+2
* abc9: not enough to techmap_fail on (* init=1 *), hide them using $__Eddie Hung2020-05-142-10/+26
* abc9: add flop boxes to basic $_DFF_P_ and $_DFF_N_ tooEddie Hung2020-05-144-0/+55
* abc9_ops: add 'dff' label for auto handling of (* abc9_flop *) boxesEddie Hung2020-05-141-3/+0
* techlibs/common: more robustness when *_WIDTH = 0Eddie Hung2020-05-052-7/+30
* Fix the truth table for $_SR_* cells.Marcelina Kościelnicka2020-04-153-26/+21
* Merge pull request #1648 from YosysHQ/eddie/cmp2lcuEddie Hung2020-04-034-11/+120
|\
| * cmp2lcu: rename _90_lcu_cmp -> _80_lcu_cmpEddie Hung2020-04-031-1/+1
| * cmp2lcu: fail if `LUT_WIDTH < 2Eddie Hung2020-04-031-1/+1
| * synth: only techmap cmp2{lut,lcu} if -lutEddie Hung2020-04-031-1/+1
| * synth: use +/cmp2lcu.v in generic 'synth' tooEddie Hung2020-04-031-2/+2
| * Cleanup +/cmp2lut.vEddie Hung2020-04-031-8/+0
| * +/cmp2lcu.v to work efficiently for fully/partially constant inputsEddie Hung2020-04-031-33/+42
| * Refactor +/cmp2lcu.v into recursive techmapEddie Hung2020-04-031-38/+65
| * CleanupEddie Hung2020-04-031-31/+28
| * Cleanup cmp2lcu.vEddie Hung2020-04-031-16/+16
| * techmap +/cmp2lcu.v for decomposing arithmetic compares to $lcuEddie Hung2020-04-032-0/+84
| * cmp2lut: comment out unused since 362f4f9Eddie Hung2020-04-031-8/+8
* | simcells.v: Generate the fine FF cell types by a python script.Marcin Kościelnicki2020-04-022-19/+270
|/
* Fix invalid verilog syntaxMiodrag Milanovic2020-03-141-1/+1
* Merge pull request #1691 from ZirconiumX/use-flowmap-in-noabcN. Engelhardt2020-03-031-2/+17
|\