aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1374 from YosysHQ/eddie/fix1371Eddie Hung2019-09-151-4/+15
|\
| * Explicitly order function argumentsEddie Hung2019-09-131-4/+15
| * Revert "SigSet<Cell*> to use stable compare class"Eddie Hung2019-09-134-5/+5
| * SigSet<Cell*> to use stable compare classEddie Hung2019-09-124-5/+5
* | Fix handling of z_digit "?" and fix optimization of cmp with "z"Clifford Wolf2019-09-131-0/+4
|/
* Merge pull request #1370 from YosysHQ/dave/equiv_opt_multiclockDavid Shah2019-09-121-1/+11
|\
| * Add equiv_opt -multiclockDavid Shah2019-09-111-1/+11
* | Add -match-init option to dff2dffs.Marcin Koƛcielnicki2019-09-111-3/+26
|/
* Merge pull request #1362 from xobs/smtbmc-msvc2-build-fixesDavid Shah2019-09-111-3/+4
|\
| * passes: opt_share: don't statically initialize mergeable_type_mapSean Cross2019-09-091-3/+4
* | Fix misspellingEddie Hung2019-09-091-1/+1
|/
* techmap: Add support for extracting init values of portsMarcin Koƛcielnicki2019-09-071-1/+70
* Merge pull request #1312 from YosysHQ/xaig_arrivalEddie Hung2019-09-051-42/+16
|\
| * Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-041-0/+24
| |\
| * \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-023-8/+3
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-301-16/+10
| |\ \ \
| * \ \ \ Merge branch 'eddie/xilinx_srl' into xaig_arrivalEddie Hung2019-08-301-4/+0
| |\ \ \ \
| * \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-301-1/+1
| |\ \ \ \ \
| * | | | | | Use a dummy box file if none specifiedEddie Hung2019-08-281-3/+8
| * | | | | | Account for D port being a constantEddie Hung2019-08-281-4/+4
| * | | | | | Merge branch 'eddie/xilinx_srl' into xaig_arrivalEddie Hung2019-08-285-177/+608
| |\ \ \ \ \ \
| * \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-2810-122/+772
| |\ \ \ \ \ \ \
| * | | | | | | | CleanupEddie Hung2019-08-231-130/+59
| * | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-233-7/+29
| |\ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-211-1/+1
| |\ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ Merge branch 'eddie/fix_techmap' into xaig_arrivalEddie Hung2019-08-201-1/+1
| |\ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | techmap -max_iter to apply to each module individuallyEddie Hung2019-08-201-4/+6
| * | | | | | | | | | | Remove sequential extensionEddie Hung2019-08-201-68/+20
| * | | | | | | | | | | retime_mode -> dff_modeEddie Hung2019-08-201-7/+7
| * | | | | | | | | | | Fix use of {CLK,EN}_POLARITY, also add a FIXMEEddie Hung2019-08-201-65/+13
| * | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-2011-101/+770
| |\ \ \ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-193-33/+32
| |\ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | Remove debugEddie Hung2019-08-191-1/+1
| * | | | | | | | | | | | | Add (* abc_arrival *) attributeEddie Hung2019-08-191-1/+1
| * | | | | | | | | | | | | Move from cell attr to module attrEddie Hung2019-08-191-24/+64
| * | | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-1938-629/+1394
| |\ \ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | | Use attributes instead of paramsEddie Hung2019-08-191-11/+25
| * | | | | | | | | | | | | | Set abc_flop and use it in toposortEddie Hung2019-08-191-31/+51
| * | | | | | | | | | | | | | Merge branch 'eddie/abc9_refactor' into xaig_dffEddie Hung2019-08-1664-2456/+2776
| |\ \ \ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | | | Error out if abc9 not called with -lut or -lutsEddie Hung2019-07-111-0/+3
| * | | | | | | | | | | | | | | Count $_NOT_ cells turned into $lutsEddie Hung2019-07-111-7/+2
| * | | | | | | | | | | | | | | WIP for fixing partitioning, temporarily do not partitionEddie Hung2019-07-111-12/+34
| * | | | | | | | | | | | | | | write_verilog with *.v extensionEddie Hung2019-07-101-1/+1
| * | | | | | | | | | | | | | | Remove -retime from abc9, revert to abc behav with separate clock/en domainsEddie Hung2019-07-101-29/+61
| * | | | | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-1011-57/+318
| |\ \ \ \ \ \ \ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-021-3/+5
| |\ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | | | | | Also remove $__ABC_FF_Eddie Hung2019-07-011-1/+1
| * | | | | | | | | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-0118-121/+782
| |\ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | | | | | | | CleanupEddie Hung2019-06-171-3/+3
| * | | | | | | | | | | | | | | | | | Merge branch 'xaig' into xaig_dffEddie Hung2019-06-171-1/+1
| |\ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \