aboutsummaryrefslogtreecommitdiffstats
path: root/passes/equiv
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #3126 from georgerennie/equiv_make_assertionsJannis Harder2023-02-141-27/+65
|\
| * equiv_make: Add -make_assert optionGeorge Rennie2022-06-241-27/+65
* | Add "check -assert" to equiv_optClaire Xenia Wolf2022-10-071-1/+13
* | Exclude primary inputs from quiv_make rewiringClaire Xenia Wolf2022-10-071-0/+7
* | Revert "Merge pull request #641 from tklam/master"Claire Xenia Wolf2022-10-071-81/+5
|/
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-0810-10/+10
* equiv: Suggest running async2sync or clk2fflogic where appropriate.Marcelina Kościelnicka2021-03-302-3/+10
* use the new isPublic() in a few placesN. Engelhardt2020-09-142-6/+6
* equiv_induct: Fix up assumption for $equiv cells in -undef mode.Marcelina Kościelnicka2020-07-271-1/+3
* Use C++11 final/override keywords.whitequark2020-06-1811-24/+24
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-0210-29/+29
* kernel: use more ID::*Eddie Hung2020-04-028-41/+41
* xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-2/+16
* Add -async2sync to help text as per @daveshah1Eddie Hung2019-10-041-1/+4
* Restore part of docEddie Hung2019-10-031-1/+2
* Add new -async2sync optionEddie Hung2019-10-031-1/+11
* Revert "equiv_opt to call async2sync when not -multiclock like SymbiYosys"Eddie Hung2019-10-031-2/+0
* Revert "Update doc for equiv_opt"Eddie Hung2019-10-031-3/+2
* Update doc for equiv_optEddie Hung2019-09-301-2/+3
* equiv_opt to call async2sync when not -multiclock like SymbiYosysEddie Hung2019-09-271-0/+2
* Add equiv_opt -multiclockDavid Shah2019-09-111-1/+11
* SpellingEddie Hung2019-08-221-2/+2
* substr() -> compare()Eddie Hung2019-08-072-3/+3
* stoi -> atoiEddie Hung2019-08-073-3/+3
* Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-063-3/+3
* Add -undef option to equiv_opt, passed to equiv_inductEddie Hung2019-04-261-3/+16
* Add "techmap -wb", use in formal flowsClifford Wolf2019-04-201-1/+1
* Fix equiv_opt indentingClifford Wolf2018-12-161-139/+129
* equiv_opt: pass -D EQUIV when techmapping.whitequark2018-12-071-2/+4
* equiv_opt: new command, for verifying optimization passes.whitequark2018-12-072-1/+166
* using [i] to access individual bits of SigSpec and merging bits into a tmp Si...rafaeltp2018-10-211-11/+12
* cleaning up for PRrafaeltp2018-10-201-2/+2
* fixing code stylerafaeltp2018-10-201-1/+1
* solves #675rafaeltp2018-10-201-11/+17
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-2/+2
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-1/+12
* fix bug: pass by referencetklam2018-09-261-1/+1
* Fix issue #639TK Lam2018-09-261-0/+58
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-2010-20/+20
* Improve log messages in equiv_makeClifford Wolf2018-01-191-2/+2
* Fix equiv_simple, old behavior now available with "equiv_simple -short"Clifford Wolf2017-04-281-10/+41
* Squelch trailing whitespaceLarry Doolittle2017-04-121-1/+1
* Add $ff and $_FF_ support to equiv_simpleClifford Wolf2017-01-301-2/+2
* Improved equiv_purge log outputClifford Wolf2016-11-291-1/+1
* Added "yosys -D" featureClifford Wolf2016-04-219-9/+9
* Added "equiv_struct -fwonly"Clifford Wolf2016-01-081-5/+17
* Bugfixes in equiv_structClifford Wolf2016-01-081-2/+9
* Added "equiv_struct -maxiter <N>"Clifford Wolf2016-01-061-4/+16
* Added "equiv_add -try" modeClifford Wolf2016-01-061-6/+33
* Use mfp<> in equiv_markClifford Wolf2015-10-271-28/+4