aboutsummaryrefslogtreecommitdiffstats
path: root/passes/equiv
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-10-03 10:07:15 -0700
committerEddie Hung <eddie@fpgeh.com>2019-10-03 10:07:15 -0700
commit8765ec3c27f38e6fb57d057be9605788e144388b (patch)
tree826c02fd7c2a4c87fe0d15a0b6257fd1bebf32fe /passes/equiv
parentc6d15c9aade55a87595693ecb9170ae8b595e28c (diff)
downloadyosys-8765ec3c27f38e6fb57d057be9605788e144388b.tar.gz
yosys-8765ec3c27f38e6fb57d057be9605788e144388b.tar.bz2
yosys-8765ec3c27f38e6fb57d057be9605788e144388b.zip
Revert "equiv_opt to call async2sync when not -multiclock like SymbiYosys"
This reverts commit a39505e329cc05dbd4ad624a1cf0f6caf664fd9a.
Diffstat (limited to 'passes/equiv')
-rw-r--r--passes/equiv/equiv_opt.cc2
1 files changed, 0 insertions, 2 deletions
diff --git a/passes/equiv/equiv_opt.cc b/passes/equiv/equiv_opt.cc
index 9fe3bbd57..d4c7f7953 100644
--- a/passes/equiv/equiv_opt.cc
+++ b/passes/equiv/equiv_opt.cc
@@ -156,8 +156,6 @@ struct EquivOptPass:public ScriptPass
if (check_label("prove")) {
if (multiclock || help_mode)
run("clk2fflogic", "(only with -multiclock)");
- else
- run("async2sync", "(only without -multiclock)");
run("equiv_make gold gate equiv");
if (help_mode)
run("equiv_induct [-undef] equiv");