aboutsummaryrefslogtreecommitdiffstats
path: root/kernel
Commit message (Expand)AuthorAgeFilesLines
...
| * | | | | | | Merge branch 'master' into xaigEddie Hung2019-04-087-27/+151
| |\ \ \ \ \ \ \
| * \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-02-261-2/+16
| |\ \ \ \ \ \ \ \
| * | | | | | | | | Add IdString::ends_with()Eddie Hung2019-02-261-0/+6
| * | | | | | | | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-02-211-0/+3
| |\ \ \ \ \ \ \ \ \
| * | | | | | | | | | Refactor kernel/cost.h definition into cost.ccEddie Hung2019-02-082-49/+77
* | | | | | | | | | | Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-271-0/+1
|\ \ \ \ \ \ \ \ \ \ \ | | |_|_|_|_|_|_|_|_|/ | |/| | | | | | | | |
| * | | | | | | | | | Add a few more filename rewritesBen Widawsky2019-06-201-0/+1
| | |_|_|_|_|_|_|_|/ | |/| | | | | | | |
* | | | | | | | | | Merge branch 'master' of https://github.com/bogdanvuk/yosys into clifford/ext...Clifford Wolf2019-06-201-5/+4
|\ \ \ \ \ \ \ \ \ \ | |/ / / / / / / / / |/| | | | | | | | |
| * | | | | | | | | Move netlist helper module to passes/opt for the time beingBogdan Vukobratovic2019-06-141-317/+0
| * | | | | | | | | Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-143-1/+14
| |\ \ \ \ \ \ \ \ \ | | | |_|_|_|_|_|_|/ | | |/| | | | | | |
| * | | | | | | | | Prepare for situation when port of the signal cannot be foundBogdan Vukobratovic2019-06-141-1/+7
| * | | | | | | | | Implement disconnection of constant register bitsBogdan Vukobratovic2019-06-131-32/+85
| * | | | | | | | | Pass SigBit by value to Netlist algorithmsBogdan Vukobratovic2019-06-131-65/+84
| * | | | | | | | | Rename satgen_algo.h -> algo.h, code cleanup and refactoringBogdan Vukobratovic2019-06-123-206/+243
| * | | | | | | | | Generate satgen instance instead of calling sat passBogdan Vukobratovic2019-06-111-1/+44
| * | | | | | | | | Refactor driver map generationBogdan Vukobratovic2019-06-101-0/+158
* | | | | | | | | | Merge pull request #1100 from bwidawsk/homeClifford Wolf2019-06-191-0/+4
|\ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | Support ~ for home directoryBen Widawsky2019-06-181-0/+4
| | |/ / / / / / / / | |/| | | | | | | |
* / | | | | | | | | In RTLIL::Module::check(), check process invariants.whitequark2019-06-191-1/+28
|/ / / / / / / / /
* | | | | | | | | Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-4/+4
* | | | | | | | | Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-072-0/+12
|\ \ \ \ \ \ \ \ \
| * | | | | | | | | Initial implementation of elaboration system tasksUdi Finkelstein2019-05-032-0/+12
* | | | | | | | | | Refactor hierarchy wand/wor handlingClifford Wolf2019-05-281-0/+1
| |/ / / / / / / / |/| | | | | | | |
* | | | | | | | | Fix handling of warning and error messages within log_make_debug-blocksClifford Wolf2019-05-221-0/+9
* | | | | | | | | Add rewrite_sigspecs2, Improve remove() wiresClifford Wolf2019-05-152-7/+82
* | | | | | | | | Merge pull request #991 from kristofferkoch/gcc9-warningsClifford Wolf2019-05-081-0/+3
|\ \ \ \ \ \ \ \ \
| * | | | | | | | | Fix all warnings that occurred when compiling with gcc9Kristoffer Ellersgaard Koch2019-05-081-0/+3
| |/ / / / / / / /
* | | | | | | | | Merge pull request #998 from mdaiter/get_bool_attribute_optsClifford Wolf2019-05-081-4/+8
|\ \ \ \ \ \ \ \ \
| * | | | | | | | | Minor optimization to get_attribute_boolMatthew Daiter2019-05-071-4/+8
* | | | | | | | | | Optimize ceil_log2 functionMatthew Daiter2019-05-072-3/+5
|/ / / / / / / / /
* | | | | | | | | Improve write_verilog specify supportClifford Wolf2019-05-041-1/+1
* | | | | | | | | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-034-3/+18
|\| | | | | | | |
| * | | | | | | | Fix performance bug in RTLIL::SigSpec::operator==(), fixes #970Clifford Wolf2019-04-301-1/+1
| * | | | | | | | fix codestyle formattingOleg Endo2019-04-293-14/+14
| * | | | | | | | escape spaces with backslash when writing dep fileOleg Endo2019-04-293-2/+17
* | | | | | | | | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
* | | | | | | | | Improve $specrule interfaceClifford Wolf2019-04-231-1/+2
* | | | | | | | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-232-0/+17
* | | | | | | | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
* | | | | | | | | Add CellTypes support for $specify2 and $specify3Clifford Wolf2019-04-231-0/+3
* | | | | | | | | Add InternalCellChecker support for $specify2 and $specify3Clifford Wolf2019-04-231-7/+21
* | | | | | | | | Add specify parserClifford Wolf2019-04-231-0/+10
|/ / / / / / / /
* | | | | | | / Fixes for OAI4 cell implementationDavid Shah2019-04-232-2/+2
| |_|_|_|_|_|/ |/| | | | | |
* | | | | | | Add log_debug() frameworkClifford Wolf2019-04-224-1/+58
* | | | | | | Merge pull request #905 from christian-krieg/feature/python_bindingsClifford Wolf2019-04-226-4/+184
|\ \ \ \ \ \ \ | |_|_|_|_|_|/ |/| | | | | |
| * | | | | | Global lists in rtlil.cc are now static objectsBenedikt Tutzer2019-04-031-10/+10
| * | | | | | Added support for changing Yosys namespaceBenedikt Tutzer2019-04-031-0/+1
| * | | | | | Fixed identationBenedikt Tutzer2019-04-011-1/+1
| * | | | | | Merge remote-tracking branch 'origin/master' into feature/python_bindingsBenedikt Tutzer2019-03-2815-127/+297
| |\ \ \ \ \ \
| * | | | | | | Exposed generator script to make-processBenedikt Tutzer2018-09-192-3888/+2