aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/rtlil.h
Commit message (Expand)AuthorAgeFilesLines
* Added $anyseq cell typeClifford Wolf2016-10-141-0/+1
* Added $global_clock verilog syntax support for creating $ff cellsClifford Wolf2016-10-141-1/+2
* Added $ff and $_FF_ cell typesClifford Wolf2016-10-121-0/+2
* Improvements in assertpmuxClifford Wolf2016-09-071-0/+3
* Removed $predict againClifford Wolf2016-08-281-1/+0
* Added basic support for $expect cellsClifford Wolf2016-07-131-0/+2
* A few modifications after pull request commentsRuben Undheim2016-06-181-2/+1
* Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-181-0/+2
* Added addBufGate module methodClifford Wolf2016-02-021-0/+2
* Meaningless coding style changeClifford Wolf2016-01-311-1/+0
* rtlil: duplicate remove2() for std::set<>Rick Altherr2016-01-291-0/+2
* rtlil: change IdString comparison operators to take references instead of copiesRick Altherr2016-01-291-3/+3
* Removed dangling ';' in rtlil.hClifford Wolf2015-11-261-2/+2
* renamed SigSpec::to_single_sigbit() to SigSpec::as_bit(), added is_bit()Clifford Wolf2015-10-241-1/+2
* Cosmetic fix in Module::addLut()Clifford Wolf2015-09-181-1/+1
* Added $tribuf and $_TBUF_ cell typesClifford Wolf2015-08-161-0/+1
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
* Fixed trailing whitespacesClifford Wolf2015-07-021-11/+11
* Added design->rename(module, new_name)Clifford Wolf2015-06-301-0/+1
* Added "rename -top new_name"Clifford Wolf2015-06-171-0/+1
* Added $eq/$neq -> $logic_not/$reduce_bool optimizationClifford Wolf2015-04-291-0/+1
* Improved attributes API and handling of "src" attributesClifford Wolf2015-04-241-23/+18
* Added support for initialized bramsClifford Wolf2015-04-061-1/+10
* Added "dffinit", Support for initialized Xilinx DFFClifford Wolf2015-04-041-0/+1
* Some cleanups in "clean"Clifford Wolf2015-02-241-0/+8
* Added SigSpec::has_const()Clifford Wolf2015-02-081-0/+1
* Added cell->known(), cell->input(portname), cell->output(portname)Clifford Wolf2015-02-071-0/+5
* Added "equiv_make -blacklist <file> -encfile <file>"Clifford Wolf2015-01-311-0/+1
* Synced RTLIL::unescape_id() to log_id() behaviorClifford Wolf2015-01-301-3/+9
* Added dict/pool.sort()Clifford Wolf2015-01-241-0/+4
* Added equiv_make commandClifford Wolf2015-01-191-1/+2
* Removed SigSpec::extend_xx() apiClifford Wolf2015-01-011-1/+0
* Progress in memory_bramClifford Wolf2014-12-311-5/+5
* IdString optimizationClifford Wolf2014-12-311-0/+6
* added hashlib::mkhash_initClifford Wolf2014-12-301-1/+1
* Added "yosys -X"Clifford Wolf2014-12-291-0/+11
* Converting "share" to dict<> and pool<> completeClifford Wolf2014-12-291-2/+9
* Added mkhash_xorshift()Clifford Wolf2014-12-291-2/+3
* Fixed performance bug in object hashingClifford Wolf2014-12-281-1/+1
* Renamed hashmap.h to hashlib.h, some related improvementsClifford Wolf2014-12-281-5/+26
* More dict/pool related changesClifford Wolf2014-12-271-2/+2
* More hashtable finetuningClifford Wolf2014-12-271-3/+5
* Replaced std::unordered_set (nodict) with Yosys::poolClifford Wolf2014-12-261-113/+19
* Replaced std::unordered_map as implementation for Yosys::dictClifford Wolf2014-12-261-2/+30
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-261-263/+357
* Renamed extend() to extend_xx(), changed most users to extend_u0()Clifford Wolf2014-12-241-1/+1
* Added support for multiple clock domains to "abc" passClifford Wolf2014-12-211-0/+1
* Fixed build with gcc 4.6Clifford Wolf2014-12-161-1/+1
* Added IdString::destruct_guard hackClifford Wolf2014-12-111-0/+13
* Added bool constructors to SigBit and SigSpecClifford Wolf2014-12-081-0/+2