aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #2132 from YosysHQ/eddie/verific_initialclairexen2020-07-021-17/+36
|\
| * verific: rewrite initial assume/asserts prior to elaborationEddie Hung2020-05-151-17/+36
* | Merge pull request #2203 from antmicro/fix-grammarclairexen2020-07-011-4/+10
|\ \
| * | Parse macro call attached semicolon as empty expressionLukasz Dalek2020-06-261-1/+1
| * | Fix integer signing grammarLukasz Dalek2020-06-261-3/+9
* | | Merge pull request #2179 from splhack/static-castclairexen2020-07-016-0/+55
|\ \ \
| * | | static cast: simplifyKazuki Sakamoto2020-06-191-0/+7
| * | | static cast: support changing size and signednessKazuki Sakamoto2020-06-196-0/+48
| |/ /
* | | Merge pull request #2136 from zachjs/masterclairexen2020-06-301-1/+5
|\ \ \
| * | | Allow constant function calls in for loops and generate if and caseZachary Snow2020-06-291-1/+5
* | | | Update verific API version checkMiodrag Milanovic2020-06-301-1/+1
|/ / /
* | | Fix crash in verific frontendMiodrag Milanovic2020-06-261-1/+2
* | | Merge pull request #2188 from antmicro/missing-operatorswhitequark2020-06-262-2/+49
|\ \ \
| * | | Support missing sub-assign and and-assign operatorsKamil Rakoczy2020-06-252-2/+21
| * | | Support missing xor-assign operatorLukasz Dalek2020-06-242-1/+10
| * | | Add plus-assignment operatorKamil Rakoczy2020-06-242-1/+10
| * | | Add or-assignment operatorKamil Rakoczy2020-06-242-1/+11
| |/ /
* | | Merge pull request #2189 from antmicro/optional-labelswhitequark2020-06-261-2/+2
|\ \ \
| * | | Support optional labels at the end of package definitionLukasz Dalek2020-06-241-1/+1
| * | | Support optional labels at the end of module definitionLukasz Dalek2020-06-241-1/+1
| |/ /
* | | Merge pull request #2168 from whitequark/assert-unused-exprsclairexen2020-06-253-12/+12
|\ \ \
| * | | Remove YS_ATTRIBUTE(unused) where present just for log_assert()/log_debug().whitequark2020-06-193-12/+12
| |/ /
* | | optimization, all items should have same attributesMiodrag Milanovic2020-06-251-0/+1
* | | verific - import attributes for net buses as wellMiodrag Milanovic2020-06-241-1/+4
|/ /
* | Use C++11 final/override keywords.whitequark2020-06-189-34/+34
* | MSVC does not understand __builtin_unreachableAnonymous Maarten2020-06-171-1/+1
* | MSVC cannot omit operand in conditionalAnonymous Maarten2020-06-171-1/+1
* | Merge pull request #2131 from YosysHQ/claire/preserveffsclairexen2020-06-101-0/+3
|\ \
| * | Do not optimize away FFs in "prep" and Verific fron-endClaire Wolf2020-06-091-0/+3
* | | verific - detect missing memory to prevent crash.Miodrag Milanovic2020-06-101-2/+7
* | | Merge pull request #2112 from YosysHQ/claire/fix2040clairexen2020-06-092-0/+58
|\ \ \ | |/ / |/| |
| * | Add latch detection for use_case_method in part-select write, fixes #2040Claire Wolf2020-06-042-0/+58
* | | Support packed arrays in struct/union.Peter Crozier2020-06-072-17/+136
|/ /
* | Merge pull request #2041 from PeterCrozier/structclairexen2020-06-046-204/+526
|\ \
| * \ Merge branch 'master' into structPeter Crozier2020-06-038-53/+95
| |\ \
| * | | Allow structs within structs.Peter Crozier2020-05-121-7/+18
| * | | Generalise structs and add support for packed unions.Peter Crozier2020-05-126-58/+147
| * | | Implement SV structs.Peter Crozier2020-05-086-205/+427
* | | | Merge pull request #2077 from YosysHQ/eddie/abc9_dff_improveEddie Hung2020-06-041-2/+5
|\ \ \ \
| * | | | aiger: cleanupEddie Hung2020-05-251-2/+5
* | | | | Merge pull request #2006 from jersey99/signed-in-rtlil-wirewhitequark2020-06-043-1/+10
|\ \ \ \ \ | |_|_|/ / |/| | | |
| * | | | frontends/json/jsonparse.cc: Like the upto field read_json can also read the ...Vamsi K Vytla2020-04-271-1/+6
| * | | | Preserve 'signed'-ness of a verilog wire through RTLILVamsi K Vytla2020-04-272-0/+4
* | | | | Support asymmetric memories for verific frontendMiodrag Milanovic2020-06-011-6/+1
* | | | | Merge pull request #2029 from whitequark/fix-simplify-memory-sv_logicclairexen2020-05-291-2/+2
|\ \ \ \ \
| * | | | | ast/simplify: don't bitblast async ROMs declared as `logic`.whitequark2020-05-051-2/+2
* | | | | | Merge pull request #2097 from whitequark/ilang_lexer-fix-erangewhitequark2020-05-291-1/+3
|\ \ \ \ \ \
| * | | | | | ilang_lexer: fix check for out of range literal.whitequark2020-05-291-1/+3
* | | | | | | Merge pull request #2033 from boqwxp/cleanup-verilog-lexerwhitequark2020-05-291-6/+5
|\ \ \ \ \ \ \ | |/ / / / / / |/| | | | | |
| * | | | | | verilog: Move lexer location variables from global namespace to `VERILOG_FRON...Alberto Gonzalez2020-05-061-6/+5