aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Open aig frontend as binary fileMiodrag Milanovic2019-09-291-1/+1
* Fix handling of read_verilog config in AstModule::reprocess_module(), fixes #...Clifford Wolf2019-09-202-18/+30
* Merge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxextEddie Hung2019-09-181-1/+1
|\
| * Revert "parse_xaiger() to do "clean -purge""Eddie Hung2019-09-041-1/+1
* | Fix handling of range selects on loop variables, fixes #1372Clifford Wolf2019-09-161-2/+9
* | Fix handling of z_digit "?" and fix optimization of cmp with "z"Clifford Wolf2019-09-131-5/+1
* | Fix lexing of integer literals without radixClifford Wolf2019-09-131-1/+1
* | Fix lexing of integer literals, fixes #1364Clifford Wolf2019-09-122-3/+3
* | Merge pull request #1312 from YosysHQ/xaig_arrivalEddie Hung2019-09-051-14/+25
|\ \
| * | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-041-0/+7
| |\|
| * | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-301-1/+1
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-231-0/+5
| |\ \ \
| * | | | Remove sequential extensionEddie Hung2019-08-201-33/+2
| * | | | Use abc_{map,unmap,model}.vEddie Hung2019-08-201-31/+10
| * | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-201-1/+4
| |\ \ \ \
| * \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-193-14/+11
| |\ \ \ \ \
| * | | | | | Set abc_flop and use it in toposortEddie Hung2019-08-191-0/+1
| * | | | | | Merge branch 'eddie/abc9_refactor' into xaig_dffEddie Hung2019-08-1615-124/+172
| |\ \ \ \ \ \
| * | | | | | | Short out async boxEddie Hung2019-07-111-0/+14
| * | | | | | | Missing debug messageEddie Hung2019-07-111-0/+1
| * | | | | | | Small optEddie Hung2019-07-101-2/+1
| * | | | | | | Change how to specify flops to ABC againEddie Hung2019-07-101-10/+6
| * | | | | | | Use split_tokens()Eddie Hung2019-07-101-8/+8
| * | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-103-85/+24
| |\ \ \ \ \ \ \
| * \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-021-0/+2
| |\ \ \ \ \ \ \ \
| * | | | | | | | | Refactor and cope with new abc_flop formatEddie Hung2019-07-011-9/+21
| * | | | | | | | | Fix spacingEddie Hung2019-07-011-1/+1
| * | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-019-77/+189
| |\ \ \ \ \ \ \ \ \
| * | | | | | | | | | CleanupEddie Hung2019-06-161-23/+18
| * | | | | | | | | | Read init from outputsEddie Hung2019-06-151-0/+4
| * | | | | | | | | | Fix debug messageEddie Hung2019-06-151-0/+1
| * | | | | | | | | | Fix log_debug messagesEddie Hung2019-06-151-17/+23
| * | | | | | | | | | Missing close bracketEddie Hung2019-06-151-1/+1
| * | | | | | | | | | read_aiger to not require clk_name for latches, plus debugEddie Hung2019-06-151-21/+37
* | | | | | | | | | | Merge pull request #1350 from YosysHQ/clifford/fixsby59Clifford Wolf2019-09-051-7/+18
|\ \ \ \ \ \ \ \ \ \ \ | |_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | |
| * | | | | | | | | | Properly construct $live and $fair cells from "if (...) assume/assert (s_even...Clifford Wolf2019-09-021-7/+18
| | |_|_|_|_|_|_|_|/ | |/| | | | | | | |
* | | | | | | | | | Merge remote-tracking branch 'origin/master' into eddie/deferred_topEddie Hung2019-09-031-1/+1
|\| | | | | | | | |
| * | | | | | | | | parse_xaiger() to do "clean -purge"Eddie Hung2019-08-291-1/+1
| | |_|_|_|_|_|_|/ | |/| | | | | | |
* | | | | | | | | Remove newlineEddie Hung2019-08-291-1/+0
* | | | | | | | | Restore non-deferred code, deferred case to ignore non constant attrEddie Hung2019-08-291-5/+12
* | | | | | | | | read_verilog -defer should still populate module attributesEddie Hung2019-08-281-5/+6
|/ / / / / / / /
* | | | | | | | Do not propagate mem2reg attribute through to resultEddie Hung2019-08-221-1/+2
* | | | | | | | mem2reg to preserve user attributes and srcEddie Hung2019-08-211-0/+4
| |_|_|_|_|_|/ |/| | | | | |
* | | | | | | Merge pull request #1308 from jakobwenzel/real_paramsClifford Wolf2019-08-201-1/+4
|\ \ \ \ \ \ \
| * | | | | | | handle real values when deriving ast modulesJakob Wenzel2019-08-191-1/+4
| | |_|_|_|_|/ | |/| | | | |
* / | | | | | Fix typoEddie Hung2019-08-191-1/+1
|/ / / / / /
* | | | | | Merge branch 'master' into eddie/pr1266_againwhitequark2019-08-184-15/+12
|\ \ \ \ \ \
| * \ \ \ \ \ Merge pull request #1283 from YosysHQ/clifford/fix1255Clifford Wolf2019-08-172-13/+10
| |\ \ \ \ \ \ | | |_|_|_|_|/ | |/| | | | |
| | * | | | | Fix erroneous ifndef-NDEBUG in verific.ccClifford Wolf2019-08-171-3/+1
| | * | | | | Remove unused variableEddie Hung2019-08-161-5/+0