aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_parser.y
Commit message (Expand)AuthorAgeFilesLines
* Added support for hierarchical defparamsClifford Wolf2016-11-151-3/+2
* Added $anyseq cell typeClifford Wolf2016-10-141-1/+1
* Removed $aconst cell typeClifford Wolf2016-08-301-1/+1
* Removed $predict againClifford Wolf2016-08-281-7/+1
* Added read_verilog -norestrict -assume-assertsClifford Wolf2016-08-261-3/+16
* Improved verilog parser errorsClifford Wolf2016-08-251-0/+3
* Fixed bug in parsing real constantsClifford Wolf2016-08-061-4/+4
* Added $anyconst and $aconstClifford Wolf2016-07-271-1/+1
* Fixed a verilog parser memory leakClifford Wolf2016-07-251-0/+1
* Fixed parsing of empty positional cell portsClifford Wolf2016-07-251-2/+31
* No tristate warning message for "read_verilog -lib"Clifford Wolf2016-07-231-5/+5
* Added $initstate cell type and vlog functionClifford Wolf2016-07-211-0/+2
* After reading the SV spec, using non-standard predict() instead of expect()Clifford Wolf2016-07-211-5/+5
* Added basic support for $expect cellsClifford Wolf2016-07-131-1/+8
* Allow defining input ports as "input logic" in SystemVerilogRuben Undheim2016-06-201-2/+2
* Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-181-0/+29
* Fixed handling of parameters and const functions in casex/casez patternClifford Wolf2016-04-211-2/+6
* Fixed Verilog parser fix and more similar improvementsClifford Wolf2016-03-151-18/+9
* Use left-recursive rule for cell_port_list in Verilog parser.Andrew Becker2016-03-151-6/+10
* Fixed handling of parameters and localparams in functionsClifford Wolf2015-11-111-1/+1
* Fixed bug in verilog parserClifford Wolf2015-10-151-1/+1
* Added support for "parameter" and "localparam" in global contextClifford Wolf2015-10-071-0/+2
* Fixed detection of "task foo(bar);" syntax errorClifford Wolf2015-09-221-0/+2
* Adjust makefiles to work with out-of-tree buildsClifford Wolf2015-08-121-1/+1
* Fixed trailing whitespacesClifford Wolf2015-07-021-5/+5
* Added non-std verilog assume() statementClifford Wolf2015-02-261-2/+8
* Parser support for complex delay expressionsClifford Wolf2015-02-201-7/+20
* YosysJS stuffClifford Wolf2015-02-191-0/+1
* Improved read_verilog support for empty behavioral statementsClifford Wolf2015-02-101-6/+2
* Fixed supply0/supply1 with many wiresClifford Wolf2014-12-111-3/+15
* Added warning for use of 'z' constants in HDLClifford Wolf2014-11-141-4/+4
* Fixed parsing of nested verilog concatenation and replicateClifford Wolf2014-11-121-1/+1
* Fixed parsing of "module mymod #( parameter foo = 1, bar = 2 ..."Clifford Wolf2014-10-301-4/+5
* Added support for task and function args in parenthesesClifford Wolf2014-10-271-6/+45
* Updated lexers & parsers to include prefixesWilliam Speirs2014-10-151-0/+1434