aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_parser.y
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-15 15:19:23 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-15 15:19:23 +0200
commit5308c1e02a4867b184efd8cbb419c058032d06b4 (patch)
tree3b2043e5208cce935bc58b82e2929c38a5f38b68 /frontends/verilog/verilog_parser.y
parent302166dd59d8f04aacec30223868fce13a3094dd (diff)
downloadyosys-5308c1e02a4867b184efd8cbb419c058032d06b4.tar.gz
yosys-5308c1e02a4867b184efd8cbb419c058032d06b4.tar.bz2
yosys-5308c1e02a4867b184efd8cbb419c058032d06b4.zip
Fixed bug in verilog parser
Diffstat (limited to 'frontends/verilog/verilog_parser.y')
-rw-r--r--frontends/verilog/verilog_parser.y2
1 files changed, 1 insertions, 1 deletions
diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y
index e0446e082..09748eba4 100644
--- a/frontends/verilog/verilog_parser.y
+++ b/frontends/verilog/verilog_parser.y
@@ -759,7 +759,7 @@ assign_expr_list:
assign_expr | assign_expr_list ',' assign_expr;
assign_expr:
- expr '=' expr {
+ lvalue '=' expr {
ast_stack.back()->children.push_back(new AstNode(AST_ASSIGN, $1, $3));
};