aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Collapse)AuthorAgeFilesLines
* verific: Fix enum_values support and signed attribute valuesJannis Harder2023-03-151-34/+33
| | | | | | This uses the same constant parsing for enum_values and for attributes and extends it to handle signed values as those are used for enums that implicitly use the int type.
* Handle more wide case selector typesMiodrag Milanovic2023-02-271-14/+42
|
* For case select values use Sa instead of Sx and SzMiodrag Milanovic2023-02-082-5/+42
|
* Add verific import support for OPER_WIDE_CASE_SELECT_BOXMiodrag Milanovic2023-02-061-0/+41
|
* Fixes for some of clang scan-build detected issuesMiodrag Milanovic2023-01-172-6/+8
|
* respect noblackbox attribute in verificMiodrag Milanovic2022-12-151-0/+6
|
* Merge pull request #3568 from YosysHQ/verific_msgMiodrag Milanović2022-12-051-3/+16
|\ | | | | Set all Verific messages of certain type to other
| * set VERI-1063 explicitlyMiodrag Milanovic2022-12-021-5/+7
| |
| * Set all verific messages of certain type to otherMiodrag Milanovic2022-11-301-3/+14
| |
* | reset elaboration error after rewriterMiodrag Milanovic2022-11-301-0/+2
|/
* update documentationMiodrag Milanovic2022-11-251-3/+3
|
* Support importing verilog configurations using VerificMiodrag Milanovic2022-11-252-4/+39
|
* Add additional help infoMiodrag Milanovic2022-10-311-0/+2
|
* Enable importing blackbox modules onlyMiodrag Milanovic2022-10-311-1/+33
|
* Support for reading liberty files using verificMiodrag Milanovic2022-10-311-1/+45
|
* Skip verific primitives and operators import by defaultMiodrag Milanovic2022-10-141-0/+1
|
* Add option to import all cells from all librariesMiodrag Milanovic2022-10-141-1/+30
|
* Fix handling of verific -L options, add implicit "-L work"Claire Xenia Wolf2022-10-101-0/+14
| | | | Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
* Add support for EDIF file reading using VerificMiodrag Milanovic2022-10-041-1/+47
|
* support file content redirection for verific frontenedMiodrag Milanovic2022-09-281-14/+60
|
* Add comment for future selfMiodrag Milanovic2022-09-281-0/+7
|
* Handle attributes imported from verificMiodrag Milanovic2022-09-281-5/+24
|
* Import memory attributesMiodrag Milanovic2022-09-211-0/+1
|
* verific: better fix for read callbackMiodrag Milanovic2022-09-071-5/+3
|
* verific: fix crash when using prep right after readMiodrag Milanovic2022-09-071-0/+3
|
* Encode filename unprintable charsMiodrag Milanovic2022-08-081-1/+1
|
* verific - make filepath handling compatible with verilog frontendMiodrag Milanovic2022-08-081-15/+29
|
* Setting wire upto in verific importMiodrag Milanovic2022-07-291-2/+5
|
* Update READMEMiodrag Milanović2022-07-281-1/+1
|
* Upadte documentation and changelogMiodrag Milanovic2022-07-041-0/+1
|
* Update to new verific extensions intefaceMiodrag Milanovic2022-06-301-3/+29
|
* Revert "use new verific extensions library"Miodrag Milanovic2022-06-211-70/+54
| | | | This reverts commit 607e957657fc56625de5c28ea9cd43c859017d96.
* use new verific extensions libraryMiodrag Milanovic2022-06-171-54/+70
|
* removed deprecated features codeMiodrag Milanovic2022-06-131-235/+0
|
* verific: Added "-vlog-libext" option to specify search extension for librariesMiodrag Milanovic2022-06-091-1/+16
|
* verific: proper file location for readmem commandsMiodrag Milanovic2022-06-041-0/+33
|
* fix text to fit 80 columnsMiodrag Milanovic2022-05-231-6/+9
|
* Update verific command file documentationMiodrag Milanovic2022-05-231-17/+19
|
* Use analysis mode if set in fileMiodrag Milanovic2022-05-231-2/+2
|
* verific: Use new value change logic also for $stable of wide signals.Jannis Harder2022-05-111-7/+29
| | | | I missed this in the previous PR.
* Merge pull request #3305 from jix/sva_value_change_logicJannis Harder2022-05-091-10/+25
|\ | | | | verific: Improve logic generated for SVA value change expressions
| * verific: Improve logic generated for SVA value change expressionsJannis Harder2022-05-091-10/+25
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | The previously generated logic assumed an unconstrained past value in the initial state and did not handle 'x values. While the current formal verification flow uses 2-valued logic, SVA value change expressions require a past value of 'x during the initial state to behave in the expected way (i.e. to consider both an initial 0 and an initial 1 as $changed and an initial 1 as $rose and an initial 0 as $fell). This patch now generates logic that at the same time a) provides the expected behavior in a 2-valued logic setting, not depending on any dont-care optimizations, and b) properly handles 'x values in yosys simulation
* | verific: Fix conditions of SVAs with explicit clocks within proceduresJannis Harder2022-05-033-5/+16
|/ | | | | | | | | For SVAs that have an explicit clock and are contained in a procedure which conditionally executes the assertion, verific expresses this using a mux with one input connected to constant 1 and the other output connected to an SVA_AT. The existing code only handled the case where the first input is connected to 1. This patch also handles the other case.
* Ignore merging past ffs that we are not properly mergingMiodrag Milanovic2022-04-291-0/+1
|
* verific: allow memories to be inferred in loops (vhdl)Miodrag Milanovic2022-04-181-0/+1
|
* verific: allow memories to be inferred in loopsN. Engelhardt2022-04-151-0/+1
|
* Preserve internal wires for external netsMiodrag Milanovic2022-04-011-1/+1
|
* Fix valgrind tests when using verificMiodrag Milanovic2022-03-301-0/+8
|
* Properly mark modules importedMiodrag Milanovic2022-03-261-2/+2
|
* Import verific netlist in consistent orderMiodrag Milanovic2022-03-252-23/+27
|