aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/genrtlil.cc
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-031-102/+101
|\
| * Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-102/+101
* | ast: fixes #1710; do not generate RTLIL for unreachable ternaryEddie Hung2020-02-271-9/+22
|/
* Merge pull request #1703 from YosysHQ/eddie/specify_improveEddie Hung2020-02-211-7/+11
|\
| * verilog: fix $specify3 checkEddie Hung2020-02-131-7/+11
* | partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-161-2/+6
|/
* sv: Switch parser to glr, prep for typedefDavid Shah2019-10-031-0/+1
* substr() -> compare()Eddie Hung2019-08-071-1/+1
* genrtlil: emit \src attribute on CaseRule.whitequark2019-07-081-0/+1
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-191-1/+20
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-1/+31
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-0/+1
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-0/+1
* | Merge branch 'master' into wandworStefan Biereigel2019-05-271-3/+9
|\ \
| * \ Merge pull request #1044 from mmicko/invalid_width_rangeClifford Wolf2019-05-271-1/+2
| |\ \
| | * | Give error instead of asserting for invalid range, fixes #947Miodrag Milanovic2019-05-271-1/+2
| * | | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-271-2/+7
| |/ /
* | | move wand/wor resolution into hierarchy passStefan Biereigel2019-05-271-97/+14
* | | fix assignment of non-wiresStefan Biereigel2019-05-231-16/+19
* | | fix indentation across filesStefan Biereigel2019-05-231-58/+76
* | | implementation for assignments workingStefan Biereigel2019-05-231-14/+79
|/ /
* | Improve write_verilog specify supportClifford Wolf2019-05-041-0/+3
* | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-0/+2
|\ \
| * | Fix width detection of memory access with bit slice, fixes #974Clifford Wolf2019-05-011-0/+2
| |/
* | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-0/+6
* | Checking and fixing specify cells in genRTLILClifford Wolf2019-04-231-1/+15
|/
* Improve handling of "full_case" attributesClifford Wolf2019-03-141-0/+9
* Add support for SVA labels in read_verilogClifford Wolf2019-03-071-3/+9
* Fix error for wire decl in always block, fixes #763Clifford Wolf2019-03-021-1/+5
* Fixes related to handling of autowires and upto-ranges, fixes #814Clifford Wolf2019-02-211-2/+2
* Fix segfault in printing of some internal error messagesClifford Wolf2019-02-211-2/+2
* Fix sign handling of real constantsClifford Wolf2019-02-131-5/+4
* Various indenting fixes in AST front-end (mostly space vs tab issues)Clifford Wolf2018-11-041-55/+33
* Improve read_verilog range out of bounds warningClifford Wolf2018-10-201-6/+6
* Refactor code to avoid code duplication + added commentsRuben Undheim2018-10-201-21/+4
* Documentation improvements etc.Ruben Undheim2018-10-131-5/+7
* Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-121-0/+29
* Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-2/+46
* Fix for issue 594.Tom Verbeure2018-10-021-1/+2
* Convert more log_error() to log_file_error() where possible.Henner Zeller2018-07-201-71/+69
* Use log_file_warning(), log_file_error() functions.Henner Zeller2018-07-201-16/+16
* Provide source-location logging.Henner Zeller2018-07-191-3/+2
* Fix handling of signed memoriesClifford Wolf2018-06-281-0/+3
* Add (* gclk *) attribute supportClifford Wolf2018-06-011-0/+9
* Add $allconst and $allseq cell typesClifford Wolf2018-02-231-2/+2
* Fix error handling for nested always/initialClifford Wolf2017-12-021-0/+2
* Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand cons...Clifford Wolf2017-06-071-0/+7
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-1/+6
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+2
* Some fixes in handling of signed arraysClifford Wolf2016-11-011-0/+1