aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Rename *RAM{32,64}M rules to RAM{32X2,64X1}QEddie Hung2019-12-162-6/+6
* Disable RAM16X1D testEddie Hung2019-12-131-17/+17
* Disable RAM16X1D match rule; carry-over from LUT4 archesEddie Hung2019-12-131-6/+9
* RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8
* Remove extraneous synth_xilinx callEddie Hung2019-12-121-2/+0
* Add tests for these new modelsEddie Hung2019-12-121-0/+40
* Add RAM32X6SDP and RAM64X3SDP modesEddie Hung2019-12-122-8/+120
* Fix RAM64M model to have 6 bit address busEddie Hung2019-12-121-4/+4
* Add #1460 testcaseEddie Hung2019-12-121-0/+34
* Add memory rules for RAM16X1D, RAM32M, RAM64MEddie Hung2019-12-122-0/+168
* Rename memory tests to lutram, add more xilinx testsEddie Hung2019-12-129-53/+156
* abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
* Update README.md :: abc_ -> abc9_Eddie Hung2019-12-111-3/+3
* Fix bitwidth mismatch; suppresses iverilog warningEddie Hung2019-12-111-4/+4
* Merge pull request #1564 from ZirconiumX/intel_housekeepingDavid Shah2019-12-118-6/+6
|\
| * synth_intel: a10gx -> arria10gxDan Ravensloft2019-12-105-4/+4
| * synth_intel: cyclone10 -> cyclone10lpDan Ravensloft2019-12-105-4/+4
* | Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-098-51/+225
|\ \
| * | ice40_opt to restore attributes/name when unwrappingEddie Hung2019-12-091-0/+15
| * | ice40_wrapcarry -unwrap to preserve 'src' attributeEddie Hung2019-12-091-1/+9
| * | unmap $__ICE40_CARRY_WRAPPER in testEddie Hung2019-12-091-1/+21
| * | -unwrap to create $lut not SB_LUT4 for opt_lutEddie Hung2019-12-091-7/+5
| * | Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4Eddie Hung2019-12-092-8/+12
| * | ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-094-39/+61
| * | Drop keep=0 attributes on SB_CARRYEddie Hung2019-12-062-2/+10
| * | Merge SB_CARRY+SB_LUT4's attributes when creating $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+1
| * | Add WIP test for unwrapping $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+30
| * | Check SB_CARRY name also preservedEddie Hung2019-12-031-0/+1
| * | $__ICE40_CARRY_WRAPPER to use _TECHMAP_REPLACE_ for SB_CARRY to preserveEddie Hung2019-12-031-1/+1
| * | ice40_opt to ignore (* keep *) -ed cellsEddie Hung2019-12-031-0/+5
| * | ice40_wrapcarry to preserve SB_CARRY's attributesEddie Hung2019-12-031-0/+2
| * | Add testcaseEddie Hung2019-12-031-0/+60
* | | Merge pull request #1555 from antmicro/fix-macc-xilinx-testEddie Hung2019-12-061-1/+1
|\ \ \
| * | | tests: arch: xilinx: Change order of arguments in macc.shJan Kowalewski2019-12-061-1/+1
|/ / /
* | | Merge pull request #1551 from whitequark/manual-cell-operandsClifford Wolf2019-12-053-43/+82
|\ \ \ | |_|/ |/| |
| * | kernel: require \B_SIGNED=0 on $shl, $sshl, $shr, $sshr.whitequark2019-12-042-8/+26
| * | manual: document behavior of many comb cells more precisely.whitequark2019-12-041-35/+56
* | | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
* | | iopadmap: Refactor and fix tristate buffer mapping. (#1527)Marcin Kościelnicki2019-12-042-146/+196
* | | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
| |/ |/|
* | Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-035-114/+571
|\ \
| * | update testPepijn de Vos2019-12-031-2/+3
| * | Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-032-11/+13
| * | attempt to fix formattingPepijn de Vos2019-11-252-292/+292
| * | gowin: add and test dff init valuesPepijn de Vos2019-11-254-41/+495
* | | Merge pull request #1542 from YosysHQ/dave/abc9-loop-fixDavid Shah2019-12-022-29/+46
|\ \ \
| * | | abc9: Fix breaking of SCCsDavid Shah2019-12-012-29/+46
| | |/ | |/|
* | | Merge pull request #1539 from YosysHQ/mwk/ilang-bounds-checkClifford Wolf2019-12-011-0/+4
|\ \ \ | |/ / |/| |
| * | read_ilang: do bounds checking on bit indicesMarcin Kościelnicki2019-11-271-0/+4
* | | Merge pull request #1540 from YosysHQ/mwk/xilinx-bufpllMiodrag Milanović2019-11-292-0/+21
|\ \ \