aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
...
| * | Fix spacingEddie Hung2019-07-121-1/+1
| * | Remove double pushEddie Hung2019-07-121-1/+0
| * | Map to and from this box if -abc9Eddie Hung2019-07-121-2/+3
| * | ice40_opt to handle this box and opt back to SB_LUT4Eddie Hung2019-07-121-0/+48
| * | Add new box to cells_sim.vEddie Hung2019-07-121-2/+25
| * | _ABC macro will map and unmap to this new boxEddie Hung2019-07-122-0/+34
| * | Combine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 boxEddie Hung2019-07-123-25/+13
* | | Merge pull request #1200 from mmicko/fix_typo_liberty_ccClifford Wolf2019-07-161-1/+1
|\ \ \
| * | | Fix typo, double "of"Miodrag Milanovic2019-07-161-1/+1
* | | | Merge pull request #1199 from mmicko/extract_fa_fixClifford Wolf2019-07-161-2/+2
|\ \ \ \ | |/ / / |/| | |
| * | | Fix check logic in extract_faMiodrag Milanovic2019-07-161-2/+2
|/ / /
* | | Merge pull request #1196 from YosysHQ/eddie/fix1178Eddie Hung2019-07-151-5/+12
|\ \ \
| * | | Revert "Add log_checkpoint function and use it in opt_muxtree"Eddie Hung2019-07-153-9/+0
| * | | Revert "Fix first divergence in #1178"Eddie Hung2019-07-151-5/+1
| * | | Merge branch 'master' into eddie/fix1178Eddie Hung2019-07-1526-93/+1204
| |\ \ \
| * | | | Redesign log_id_cache so that it doesn't keep IdString instances referenced, ...Clifford Wolf2019-07-151-6/+13
| * | | | Add log_checkpoint function and use it in opt_muxtreeClifford Wolf2019-07-153-0/+9
| * | | | Fix first divergence in #1178Eddie Hung2019-07-091-1/+5
* | | | | Merge pull request #1189 from YosysHQ/eddie/fix1151Clifford Wolf2019-07-151-0/+4
|\ \ \ \ \
| * | | | | Error out if enable > dbitsEddie Hung2019-07-131-0/+4
| | |_|_|/ | |/| | |
* | | | | Merge pull request #1190 from YosysHQ/eddie/fix_1099Clifford Wolf2019-07-151-4/+8
|\ \ \ \ \
| * | | | | If ConstEval fails do not log_abort() but return gracefullyEddie Hung2019-07-131-4/+8
| |/ / / /
* | | | | Merge pull request #1191 from whitequark/opt_lut-log_debugClifford Wolf2019-07-151-56/+38
|\ \ \ \ \
| * | | | | opt_lut: make less chatty.whitequark2019-07-131-56/+38
| |/ / / /
* | | | | Merge pull request #1195 from Roman-Parise/masterClifford Wolf2019-07-151-1/+1
|\ \ \ \ \
| * | | | | Updated FreeBSD dependencies in README.mdRoman-Parise2019-07-141-1/+1
| | |_|/ / | |/| | |
* | | | | Merge pull request #1197 from nakengelhardt/handle-setrlimit-failClifford Wolf2019-07-151-1/+5
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | smt: handle failure of setrlimit syscallN. Engelhardt2019-07-151-1/+5
|/ / / /
* | | | Merge pull request #1194 from cr1901/miss-semiEddie Hung2019-07-141-2/+2
|\ \ \ \ | |/ / / |/| | |
| * | | Fix missing semicolon in Windows-specific code in aigerparse.cc.William D. Jones2019-07-141-2/+2
* | | | Merge pull request #1183 from whitequark/ice40-always-relutClifford Wolf2019-07-121-11/+5
|\ \ \ \ | |_|_|/ |/| | |
| * | | synth_ice40: switch -relut to be always on.whitequark2019-07-111-10/+4
| * | | synth_ice40: fix help text typo. NFC.whitequark2019-07-111-1/+1
| |/ /
* | | Merge pull request #1182 from koriakin/xc6s-bramEddie Hung2019-07-119-8/+598
|\ \ \
| * | | synth_xilinx: Initial Spartan 6 block RAM inference support.Marcin Koƛcielnicki2019-07-119-8/+598
| |/ /
* | | Merge pull request #1185 from koriakin/xc-ff-init-valsEddie Hung2019-07-112-6/+6
|\ \ \
| * | | xilinx: Fix the default values for FDPE/FDSE INIT attributes to match ISE/Viv...Marcin Koƛcielnicki2019-07-112-6/+6
| |/ /
* / / Enable &mfs for abc9, even if it only currently works for ice40Eddie Hung2019-07-111-1/+1
|/ /
* | Merge pull request #1172 from whitequark/write_verilog-Sa-as-qmarkClifford Wolf2019-07-111-2/+8
|\ \
| * | write_verilog: write RTLIL::Sa aka - as Verilog ?.whitequark2019-07-091-2/+8
* | | Merge pull request #1179 from whitequark/attrmap-procClifford Wolf2019-07-111-0/+19
|\ \ \
| * | | attrmap: also consider process, switch and case attributes.whitequark2019-07-101-0/+19
| | |/ | |/|
* | | Merge pull request #1180 from YosysHQ/eddie/no_abc9_retimeEddie Hung2019-07-103-6/+15
|\ \ \
| * | | Error out if -abc9 and -retime specifiedEddie Hung2019-07-103-6/+15
| |/ /
* | | Merge pull request #1148 from YosysHQ/xc7muxEddie Hung2019-07-107-49/+415
|\ \ \
| * | | Add some spacingEddie Hung2019-07-101-9/+9
| * | | Add some ASCII art explaining mux decompositionEddie Hung2019-07-101-0/+21
| * | | Call muxpack and pmux2shiftx before cmp2lutEddie Hung2019-07-091-9/+12
| * | | Restore opt_clean back to original placeEddie Hung2019-07-091-2/+1
| * | | Restore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6Eddie Hung2019-07-091-0/+2