aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-09-21 15:46:43 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2022-09-21 15:46:43 +0200
commit1ecf6aee9b331efebeca1bd95a3d5125abf8da50 (patch)
tree279f98b936b6c739dce2cd0371de6307f3637550
parenta217450524e21222d8d32bd448f1ea2291685258 (diff)
downloadyosys-1ecf6aee9b331efebeca1bd95a3d5125abf8da50.tar.gz
yosys-1ecf6aee9b331efebeca1bd95a3d5125abf8da50.tar.bz2
yosys-1ecf6aee9b331efebeca1bd95a3d5125abf8da50.zip
Test fixes for latest iverilog
-rw-r--r--techlibs/achronix/speedster22i/cells_sim.v3
-rw-r--r--techlibs/sf2/cells_sim.v2
-rw-r--r--tests/simple/memory.v14
3 files changed, 14 insertions, 5 deletions
diff --git a/techlibs/achronix/speedster22i/cells_sim.v b/techlibs/achronix/speedster22i/cells_sim.v
index 6c87adb94..fc15e0966 100644
--- a/techlibs/achronix/speedster22i/cells_sim.v
+++ b/techlibs/achronix/speedster22i/cells_sim.v
@@ -68,9 +68,8 @@ end
assign dout = combout_rt & 1'b1;
endmodule
-module DFF (output q,
+module DFF (output reg q,
input d, ck);
- reg q;
always @(posedge ck)
q <= d;
diff --git a/techlibs/sf2/cells_sim.v b/techlibs/sf2/cells_sim.v
index 02335404b..b5438e44c 100644
--- a/techlibs/sf2/cells_sim.v
+++ b/techlibs/sf2/cells_sim.v
@@ -162,7 +162,7 @@ module ARI1 (
wire F1 = INIT[8 + Fsel];
wire Yout = A ? F1 : F0;
assign Y = Yout;
- wire S = FCI ^ Yout;
+ assign S = FCI ^ Yout;
wire G = INIT[16] ? (INIT[17] ? F1 : F0) : INIT[17];
wire P = INIT[19] ? 1'b1 : (INIT[18] ? Yout : 1'b0);
assign FCO = P ? FCI : G;
diff --git a/tests/simple/memory.v b/tests/simple/memory.v
index f38bdafd3..b478d9409 100644
--- a/tests/simple/memory.v
+++ b/tests/simple/memory.v
@@ -137,8 +137,13 @@ endmodule
// ----------------------------------------------------------
-module memtest06_sync(input clk, input rst, input [2:0] idx, input [7:0] din, output [7:0] dout);
+module memtest06_sync(clk, rst, idx, din, dout);
+ input clk;
+ input rst;
(* gentb_constant=0 *) wire rst;
+ input [2:0] idx;
+ input [7:0] din;
+ output [7:0] dout;
reg [7:0] test [0:7];
integer i;
always @(posedge clk) begin
@@ -156,8 +161,13 @@ module memtest06_sync(input clk, input rst, input [2:0] idx, input [7:0] din, ou
assign dout = test[idx];
endmodule
-module memtest06_async(input clk, input rst, input [2:0] idx, input [7:0] din, output [7:0] dout);
+module memtest06_async(clk, rst, idx, din, dout);
+ input clk;
+ input rst;
(* gentb_constant=0 *) wire rst;
+ input [2:0] idx;
+ input [7:0] din;
+ output [7:0] dout;
reg [7:0] test [0:7];
integer i;
always @(posedge clk or posedge rst) begin