aboutsummaryrefslogtreecommitdiffstats
path: root/src
Commit message (Expand)AuthorAgeFilesLines
* options.adb: add commandsTristan Gingold2022-06-071-2/+2
* errorout: add nowrite warning. Fix #2081Tristan Gingold2022-06-075-8/+16
* vhdl-parse.adb: fix uninitialized variable, for #2076Tristan Gingold2022-06-061-0/+1
* vhdl-sem_names: avoid a crash on incorrect selected name. For #2076Tristan Gingold2022-06-061-1/+2
* vhdl-parse: avoid a crash with return identifier. Fox #2076Tristan Gingold2022-06-061-1/+7
* synth-vhdl_stmts: fix handling of instantiated subprogramsTristan Gingold2022-06-061-1/+3
* synth-vhdl_eval: handle more operationsTristan Gingold2022-06-061-1/+16
* synth-vhdl_stmts: handle alias in assignment expressionTristan Gingold2022-06-063-2/+24
* vhdl-ieee-math_real: recognize more operationsTristan Gingold2022-06-062-12/+45
* synth-vhdl_eval: recognize and handle to_stdulogicvectorTristan Gingold2022-06-063-2/+17
* synth-vhdl_eval: handle more operationsTristan Gingold2022-06-052-37/+112
* vhdl: recognize more predefined ieee functions and operatorsTristan Gingold2022-06-054-7/+112
* synth-vhdl_eval: handle more operations (sgn/uns reduce)Tristan Gingold2022-06-051-6/+16
* synth-vhdl-eval: handle more operationsTristan Gingold2022-06-054-31/+272
* vhdl-ieee-numeric: recognize vector/scalar operationsTristan Gingold2022-06-052-3/+51
* synth-vhdl_oper: handle more bit_vector operations. Fix #2074Tristan Gingold2022-06-051-8/+13
* elab-debugger: add where commandTristan Gingold2022-06-051-28/+49
* synth-vhdl_eval: handle rotations and shift for numeric_stdTristan Gingold2022-06-051-4/+40
* synth-vhdl_eval: handle to_x01, to_ux01, to_x01z and is_xTristan Gingold2022-06-052-19/+56
* vhdl-ieee-numeric: recognize is_x, to_x01, to_ux01 and to_x01zTristan Gingold2022-06-052-8/+50
* synth-vhdl_eval: handle more operationsTristan Gingold2022-06-053-2/+41
* synth-ieee-numeric_std: fix handling of X for negationTristan Gingold2022-06-051-18/+20
* synth-vhdl_eval: handle find_leftmost and find_rightmostTristan Gingold2022-06-053-0/+55
* synth-vhdl_expr: adjust max computation for memidx. Fix #2073Tristan Gingold2022-06-052-3/+3
* synth-vhdl_decls: fix subtype conversion for variable default value.Tristan Gingold2022-06-041-1/+1
* synth-vhdl_eval: handle minmaxTristan Gingold2022-06-043-178/+295
* vhdl: add a warning for unassociated portsTristan Gingold2022-06-044-9/+26
* vhdl-sem_types: avoid a crash on empty records if forced analysisTristan Gingold2022-06-041-1/+9
* synth-vhdl_expr: do not abort on array subtype conversionTristan Gingold2022-06-042-1/+6
* vhdl-sem_scopes: handle state_suspendTristan Gingold2022-06-041-1/+2
* elab-vhdl_debug: add print commandTristan Gingold2022-06-044-2/+306
* synth-vhdl_eval: handle more operators (nand, nor, xnor)Tristan Gingold2022-06-042-0/+54
* synth-vhdl_eval: add support for more operators.Tristan Gingold2022-06-043-24/+121
* synth-vhdl_eval: handle rotationsTristan Gingold2022-06-043-1/+55
* elab-vhdl_types: handle array attributes on function callTristan Gingold2022-06-042-0/+9
* synth-vhdl_eval: handle more operations, fix resize corner caseTristan Gingold2022-06-032-24/+74
* synth-vhdl_eval: handle more operationsTristan Gingold2022-06-033-4/+384
* elab-debugger: remove duplicate flagTristan Gingold2022-06-035-10/+11
* areapools: avoid a crash on release with empty blockTristan Gingold2022-06-031-0/+1
* synth: handle file flush procedureTristan Gingold2022-06-013-0/+18
* vhdl-ieee-std_logic_1164: recognize to_hstring, to_ostringTristan Gingold2022-06-012-0/+7
* vhdl-utils(is_copyback_parameter): avoid a crash on file parameterTristan Gingold2022-06-011-6/+2
* vhdl: improve use of interface types. For #2070Tristan Gingold2022-06-012-0/+6
* vhdl-scanner: adjust assertion. For #2070Tristan Gingold2022-06-011-1/+1
* vhdl-parse: do not allow nested context declaration. For #2070Tristan Gingold2022-06-011-1/+5
* vhdl-parse: avoid a crash on too large numbers. For #2070Tristan Gingold2022-06-012-2/+15
* vhdl: avoid crash after an error. For #2070Tristan Gingold2022-06-013-3/+10
* vhdl-evaluation.adb: avoid a crash on overflow. For #2070Tristan Gingold2022-06-011-11/+12
* vhdl-errors.adb: use normal message subprogram. For #2070Tristan Gingold2022-06-011-9/+1
* synth-vhdl_eval: complete vector reduce operationsTristan Gingold2022-05-311-7/+21