aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure')
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/non_compliant.exp1571
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1000.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1001.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1002.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1003.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1004.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1005.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1006.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1007.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1008.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc101.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1014.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1015.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1016.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1017.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1018.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc102.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1022.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1023.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1025.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc103.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1036.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc104.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1041.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1042.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1043.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1044.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1045.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1046.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1047.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1048.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1049.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc105.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1051.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1052.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1053.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1054.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1055.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1056.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1057.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1058.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1059.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc106.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1060.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1061.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1062.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1063.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1064.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1065.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1066.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1068.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc107.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc108.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1082.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1083.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1084.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1085.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc109.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1093.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1094.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1095.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1096.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1097.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1098.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1099.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc11.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1100.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1101.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1102.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1105.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1106.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1107.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1108.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1109.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1110.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1111.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1112.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1113.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1114.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1115.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1116.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1118.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc112.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1124.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1125.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1127.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1128.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1129.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1130.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1131.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1132.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1133.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1134.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1135.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1136.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1141.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1142.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1143.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1144.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1146.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1160.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1161.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1162.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1163.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1168.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1169.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1170.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1171.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1172.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1173.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1181.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1184.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1185.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1186.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1188.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1189.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1190.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1191.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1193.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1194.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1195.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1196.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1198.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc12.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc120.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1200.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1201.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1202.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1203.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1204.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc121.vhd91
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1217.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1219.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc122.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1226.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1228.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1229.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc123.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1231.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1235.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1236.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1237.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1238.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1239.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc124.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1240.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1241.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1242.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1243.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1244.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1245.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1246.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1247.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1248.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1249.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc125.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1250.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1251.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1252.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1253.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1254.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1255.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc126.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1264.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc127.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1270.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1271.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1272.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1273.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1274.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1275.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1276.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1277.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1278.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1279.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc128.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1280.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1281.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1282.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1283.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1284.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1285.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1286.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1287.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1288.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1289.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc129.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1290.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1291.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1293.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1295.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1296.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1297.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1298.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc13.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc130.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1300.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1301.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1302.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1303.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1304.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1305.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1308.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1311.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1312.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1313.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1314.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1315.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1319.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc132.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1320.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1324.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1325.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1326.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1329.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1330.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1333.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1334.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1345.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1346.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1351.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1352.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1353.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1355.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1357.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1358.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1376.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1377.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1378.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1379.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1380.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1381.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1382.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1383.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1384.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1385.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1388.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc139.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1391.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1395.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1396.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1397.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1398.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc140.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1406.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1407.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1408.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1411.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1415.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1416.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1417.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1418.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1419.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc142.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1420.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1426.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1427.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1428.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1429.vhd73
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1430.vhd75
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1431.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1432.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1433.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1434.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1435.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1436.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1437.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1438.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1439.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc144.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1440.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1441.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1449.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc145.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1453.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1454.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1455.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1464.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1465.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1466.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1467.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1468.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1469.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1470.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1471.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1472.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1476.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1477.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1478.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1479.vhd65
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1480.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1481.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1482.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1484.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1489.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1490.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1492.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1494.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1498.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1501.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1502.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1503.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1504.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1506.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc151.vhd67
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1513.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc152.vhd67
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1520.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1525.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1526.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1527.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1528.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc153.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1532.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1533.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1534.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1535.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1537.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1538.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1541.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1542.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc155.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1556.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1557.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1559.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc156.vhd78
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1561.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1562.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1563.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1564.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1575.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1577.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1578.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1579.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1580.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1584.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1586.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1588.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc159.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1590.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1591.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1592.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1593.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1595.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc160.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1602.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1604.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1605.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1609.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc161.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1610.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1611.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1612.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1615.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1616.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1618.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1620.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1621.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1622.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1623.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1624.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1625.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1626.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1627.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1628.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1629.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1631.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1632.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1638.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1640.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1645.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc165.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1652.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1656.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1657.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1660.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1661.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1663.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1664.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1666.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1667.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1668.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1669.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1670.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1671.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1672.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1673.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1674.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1676.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1680.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1682.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1683.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1685.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1686.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1687.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1688.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1689.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1692.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1693.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1694.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1695.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1696.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1697.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1699.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc170.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1700.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1701.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1702.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1706.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1712.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1713.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1714.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1715.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1716.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1722.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1726.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1730.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1731.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc174.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1741.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1742.vhd42
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1743.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1746.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc175.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1750.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1751.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1752.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1754.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1755.vhd42
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1758.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1759.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1760.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1764.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1765.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1767.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1768.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1769.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc177.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1770.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1771.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1772.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1773.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1774.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1777.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1778.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc178.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1780.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1783.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1784.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1786.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1788.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1789.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1790.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1791.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1794.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1795.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1796.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1797.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1799.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1800.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1802.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1805.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1806.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc181.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1811.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1812.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1814.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1815.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1816.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1817.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1818.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1819.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1820.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1821.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1822.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1823.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1824.vhd70
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1825.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1826.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1827.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1828.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1829.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1830.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1831.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1832.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1833.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1834.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1835.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1836.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1837.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1838.vhd75
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1839.vhd72
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc184.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1840.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1841.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1842.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1843.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1844.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1845.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1846.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1847.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1848.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1849.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc185.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1850.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1851.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1852.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1853.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1854.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1855.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1856.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1857.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1858.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1859.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc186.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1860.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1861.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1862.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1863.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1864.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1865.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1866.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1867.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1868.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1869.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1870.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1871.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1872.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1873.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1874.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1875.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1876.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1877.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1878.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1879.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1880.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1881.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1882.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1883.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1884.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1885.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1886.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1887.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1888.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1889.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc189.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1890.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1891.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1892.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1893.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1894.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1895.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1896.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1897.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1898.vhd113
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1899.vhd113
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc19.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc190.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1900.vhd84
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1901.vhd107
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1902.vhd107
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1903.vhd108
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1904.vhd108
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1905.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc191.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1913.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc192.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1926.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1927.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1928.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1929.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc193.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1930.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1933.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1934.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1935.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1936.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1937.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1938.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1939.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1940.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1941.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc195.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc196.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc197.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1977.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1979.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1980.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1989.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc199.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1990.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc20.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc200.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2002.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2003.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2009.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2018.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2019.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc202.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2020.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2023.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2024.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2025.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2026.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2027.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2028.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2029.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2030.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2031.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2032.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2033.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2034.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2035.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2036.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2037.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2038.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2039.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2040.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2041.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2042.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2043.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2044.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2045.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2046.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2047.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2048.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2049.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2050.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2051.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2052.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2053.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2054.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2055.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2056.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2057.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2058.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2059.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2060.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2064.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2065.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2066.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2067.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2068.vhd72
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2069.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc207.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2070.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2071.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2072.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2073.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2074.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2075.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2076.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc21.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc210.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc212.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc214.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc215.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc216.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2170.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2171.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2188.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2189.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2190.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2191.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2192.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2193.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2194.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2195.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2196.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2197.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2198.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc22.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2201.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2202.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2207.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2209.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc221.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2210.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2211.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2212.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2213.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2214.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2215.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2216.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2217.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2218.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2219.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc222.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2220.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2221.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2222.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2223.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2224.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2225.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2226.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2227.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2228.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2229.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc223.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2230.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2231.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2232.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2233.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2234.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2235.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2236.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2237.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2238.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2239.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc224.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2240.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2241.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2242.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2243.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2244.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2245.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2246.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2247.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2248.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2249.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc225.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2250.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2251.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2252.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2253.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2254.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2255.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2256.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc226.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc227.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2273.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2274.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2275.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2276.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2277.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2278.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2279.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2280.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2281.vhd70
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2282.vhd70
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2306.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc231.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2310.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2311.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2312.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2313.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2314.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2315.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2316.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2317.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2318.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2319.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2320.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2321.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2322.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2323.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2327.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2328.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2333.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2334.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2335.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2336.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2337.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2338.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2339.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2340.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2341.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2342.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2343.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2344.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2345.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2346.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2347.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2348.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2349.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc235.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2350.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2351.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2352.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2353.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2354.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2355.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2356.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2357.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2358.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc236.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2361.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2362.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2375.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2376.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2377.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2379.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2381.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2385.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2386.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2393.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2397.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2398.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc240.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2402.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2405.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2406.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2407.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2409.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2410.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2411.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2413.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2414.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2416.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2418.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2419.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc242.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2420.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2422.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2423.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2424.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2426.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2427.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2429.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc243.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2431.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2433.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2434.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2437.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2438.vhd67
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc244.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2441.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2442.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2443.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2444.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2445.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2447.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2449.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc245.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2450.vhd74
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2451.vhd74
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc246.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2468.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2469.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc247.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2470.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2473.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2476.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc248.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2482.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2488.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2489.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc249.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2490.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2491.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2492.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2493.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2497.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2498.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc250.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2503.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2504.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2505.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2507.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2508.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2509.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2510.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2512.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2514.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2516.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2517.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2518.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc252.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2521.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2523.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2526.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc253.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2534.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2536.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2537.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2538.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2539.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2540.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2541.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2542.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2543.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2544.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2545.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2548.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2549.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2552.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2553.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2554.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2555.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2556.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2557.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc256.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2560.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2561.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc257.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2571.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2572.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2574.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2576.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2577.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2579.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2580.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2581.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2582.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2583.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2584.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2585.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2586.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2587.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2588.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2589.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2590.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2591.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2592.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2593.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2594.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2595.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2596.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2597.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2598.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2599.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2600.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2601.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2602.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2603.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2604.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2605.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2606.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2607.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2608.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2609.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2610.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2611.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2612.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2613.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2614.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2615.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2616.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2617.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2618.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2619.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2620.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2621.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2622.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2623.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2624.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2625.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2626.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2627.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2628.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2629.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2630.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2631.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2632.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2633.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2634.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2635.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2636.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2637.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2638.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2639.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2640.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2641.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2644.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2645.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2646.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2647.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2648.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2649.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2650.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2651.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2652.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2653.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2654.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2655.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2656.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2657.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2658.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2659.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc266.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2660.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2661.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2662.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2663.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2664.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2665.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2666.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2667.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2668.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2669.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc267.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2670.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2671.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2672.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2673.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2674.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2678.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc268.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2680.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2681.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2682.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2683.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2684.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2685.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2686.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2687.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2688.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2689.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc269.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2691.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2692.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2693.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2694.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2695.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2696.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc270.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2706.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc271.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2714.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2715.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2716.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2717.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2720.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2721.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2723.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2727.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2728.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2729.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc273.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2730.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2731.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2732.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc274.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2741.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2746.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2748.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2749.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc275.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2750.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2751.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2752.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2753.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2754.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2755.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2756.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2757.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2762.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2763.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2764.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2766.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2770.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2772.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2773.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2774.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2775.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2776.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2777.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2778.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2779.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2780.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2781.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2782.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2783.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2784.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2785.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2786.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2787.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2788.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2789.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2790.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2791.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2792.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2793.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2794.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2795.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2796.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2797.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2798.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2799.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc28.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc280.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2800.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2801.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2802.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2803.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2804.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2805.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2806.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2807.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2808.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2809.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2810.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2811.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2812.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2813.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2814.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2815.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2816.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2817.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2818.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2819.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc282.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2820.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2821.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2822.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2823.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2824.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2825.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2826.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2827.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2828.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2829.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc283.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2830.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2831.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2832.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2833.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2834.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2835.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2836.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2837.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2838.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2839.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2840.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2841.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2842.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2843.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2844.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2845.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2846.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2847.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2848.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2849.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2850.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2851.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2852.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2855.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2856.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2857.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2858.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2859.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2867.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2869.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2871.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2872.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2873.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2875.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2877.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2878.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2884.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2885.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2886.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2887.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2888.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2889.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc289.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2890.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2891.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2892.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2893.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2894.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2895.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2896.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2897.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2898.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2899.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2905.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2906.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2907.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2908.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2909.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2910.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2911.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2912.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2913.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2914.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2915.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2916.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2919.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2920.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2921.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2922.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2923.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2924.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2925.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2926.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2927.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2928.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2929.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2930.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2931.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2933.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2934.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2935.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2936.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2937.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2938.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2939.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2940.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2941.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2942.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2943.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2944.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2946.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2947.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2953.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2954.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2956.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2957.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2958.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc296.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2963.vhd119
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2965.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2970.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2971.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2983.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2984.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2985.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2986.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2991.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2992.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2993.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2994.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2995.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2996.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2997.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2998.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2999.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc300.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3000.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3002.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3003.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3004.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3006.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3007.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3008.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3009.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3011.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3012.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3013.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3014.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3015.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3017.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3019.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc302.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3020.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3021.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3025.vhd64
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3026.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3027.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3028.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc303.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3030.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3031.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc304.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc305.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3058.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc306.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3064.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc307.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3087.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3088.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3089.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3091.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3092.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3093.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3094.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3095.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3096.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3097.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3098.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc310.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3103.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3104.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3105.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3106.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3107.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3108.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc315.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc316.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3161.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3207.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc321.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc324.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc325.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc327.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc328.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc329.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc330.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc331.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc332.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc336.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc338.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc34.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc340.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc342.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc345.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc348.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc352.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc353.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc354.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc356.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc357.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc358.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc360.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc362.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc363.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc367.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc368.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc369.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc370.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc371.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc372.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc373.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc374.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc375.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc379.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc380.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc383.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc384.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc389.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc390.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc391.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc394.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc396.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc4.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc405.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc42.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc44.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc46.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc47.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc48.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc49.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc5.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc50.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc502.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc504.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc506.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc507.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc508.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc509.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc51.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc510.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc511.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc514.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc518.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc532.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc533.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc540.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc543.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc547.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc548.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc549.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc55.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc550.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc551.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc552.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc553.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc57.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc58.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc6.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc60.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc61.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc62.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc65.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc67.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc7.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc71.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc714.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc715.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc716.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc718.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc72.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc720.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc721.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc722.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc723.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc724.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc725.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc726.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc727.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc728.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc729.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc73.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc730.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc732.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc733.vhd92
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc734.vhd92
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc735.vhd98
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc736.vhd113
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc738.vhd92
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc739.vhd91
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc74.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc75.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc764.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc766.vhd67
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc767.vhd65
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc769.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc770.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc771.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc773.vhd65
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc774.vhd65
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc775.vhd65
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc779.vhd71
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc780.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc781.vhd78
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc783.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc785.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc786.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc787.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc788.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc789.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc79.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc790.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc793.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc794.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc795.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc796.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc797.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc798.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc799.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc8.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc800.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc801.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc802.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc803.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc804.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc806.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc807.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc808.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc809.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc810.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc811.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc812.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc813.vhd42
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc815.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc818.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc819.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc821.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc822.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc823.vhd49
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc824.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc825.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc826.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc827.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc828.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc829.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc830.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc831.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc832.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc834.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc835.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc836.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc838.vhd84
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc839.vhd86
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc841.vhd83
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc845.vhd86
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc847.vhd82
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc848.vhd73
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc85.vhd45
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc875.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc89.vhd47
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc899.vhd86
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc9.vhd58
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc901.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc903.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc904.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc905.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc906.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc907.vhd66
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc908.vhd68
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc909.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc910.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc92.vhd50
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc928.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc929.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc93.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc937.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc939.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc94.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc941.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc944.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc946.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc947.vhd51
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc948.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc949.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc95.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc950.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc957.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc959.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc96.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc960.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc961.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc963.vhd46
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc967.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc97.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc970.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc971.vhd69
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc972.vhd63
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc974.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc975.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc976.vhd54
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc977.vhd59
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc978.vhd57
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc979.vhd62
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc980.vhd61
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc981.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc982.vhd60
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc984.vhd56
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc985.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc989.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc990.vhd53
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc991.vhd55
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc994.vhd48
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc997.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc998.vhd52
-rw-r--r--testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc999.vhd52
1519 files changed, 79694 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/non_compliant.exp b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/non_compliant.exp
new file mode 100644
index 000000000..048709cd5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/non_compliant.exp
@@ -0,0 +1,1571 @@
+
+# Copyright (C) 2001 Clifton Labs, Inc
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
+
+# Please email any bugs, comments, and/or additions to this file to:
+# vests@cliftonlabs.com
+
+# Authors: Philip A. Wilsey philip.wilsey@ieee.org
+# Dale E. Martin dmartin@cliftonlabs.com
+
+# $Author: paw $
+# $Revision: 1.2 $
+
+# ------------------------------------------------------------------------
+#
+# $Id: non_compliant.exp,v 1.2 2001-10-19 23:29:32 paw Exp $
+#
+# ------------------------------------------------------------------------
+
+setup_test_group "Billowitch:Non-compliant Cases:Analyzer Failure" "1076-1993"
+
+run_non_compliant_test tc2.vhd
+run_non_compliant_test tc3.vhd
+run_non_compliant_test tc4.vhd
+run_non_compliant_test tc5.vhd
+run_non_compliant_test tc6.vhd
+run_non_compliant_test tc7.vhd
+run_non_compliant_test tc8.vhd
+run_non_compliant_test tc9.vhd
+
+run_non_compliant_test tc11.vhd
+run_non_compliant_test tc12.vhd
+run_non_compliant_test tc13.vhd
+run_non_compliant_test tc19.vhd
+run_non_compliant_test tc20.vhd
+run_non_compliant_test tc21.vhd
+run_non_compliant_test tc22.vhd
+run_non_compliant_test tc28.vhd
+run_non_compliant_test tc34.vhd
+run_non_compliant_test tc42.vhd
+run_non_compliant_test tc44.vhd
+run_non_compliant_test tc46.vhd
+run_non_compliant_test tc47.vhd
+run_non_compliant_test tc48.vhd
+run_non_compliant_test tc49.vhd
+run_non_compliant_test tc50.vhd
+run_non_compliant_test tc51.vhd
+run_non_compliant_test tc55.vhd
+run_non_compliant_test tc57.vhd
+run_non_compliant_test tc58.vhd
+run_non_compliant_test tc60.vhd
+run_non_compliant_test tc61.vhd
+run_non_compliant_test tc62.vhd
+run_non_compliant_test tc65.vhd
+run_non_compliant_test tc67.vhd
+run_non_compliant_test tc71.vhd
+run_non_compliant_test tc72.vhd
+run_non_compliant_test tc73.vhd
+run_non_compliant_test tc74.vhd
+run_non_compliant_test tc75.vhd
+run_non_compliant_test tc79.vhd
+run_non_compliant_test tc85.vhd
+run_non_compliant_test tc89.vhd
+run_non_compliant_test tc92.vhd
+run_non_compliant_test tc93.vhd
+run_non_compliant_test tc94.vhd
+run_non_compliant_test tc95.vhd
+run_non_compliant_test tc96.vhd
+run_non_compliant_test tc97.vhd
+
+run_non_compliant_test tc101.vhd
+run_non_compliant_test tc102.vhd
+run_non_compliant_test tc103.vhd
+run_non_compliant_test tc104.vhd
+run_non_compliant_test tc105.vhd
+run_non_compliant_test tc106.vhd
+run_non_compliant_test tc107.vhd
+run_non_compliant_test tc108.vhd
+run_non_compliant_test tc109.vhd
+run_non_compliant_test tc112.vhd
+run_non_compliant_test tc120.vhd
+run_non_compliant_test tc121.vhd
+run_non_compliant_test tc122.vhd
+run_non_compliant_test tc123.vhd
+run_non_compliant_test tc124.vhd
+run_non_compliant_test tc125.vhd
+run_non_compliant_test tc126.vhd
+run_non_compliant_test tc127.vhd
+run_non_compliant_test tc128.vhd
+run_non_compliant_test tc129.vhd
+run_non_compliant_test tc130.vhd
+run_non_compliant_test tc132.vhd
+run_non_compliant_test tc139.vhd
+run_non_compliant_test tc140.vhd
+run_non_compliant_test tc142.vhd
+run_non_compliant_test tc144.vhd
+run_non_compliant_test tc145.vhd
+run_non_compliant_test tc151.vhd
+run_non_compliant_test tc152.vhd
+run_non_compliant_test tc153.vhd
+run_non_compliant_test tc155.vhd
+run_non_compliant_test tc156.vhd
+run_non_compliant_test tc159.vhd
+run_non_compliant_test tc160.vhd
+run_non_compliant_test tc161.vhd
+run_non_compliant_test tc165.vhd
+run_non_compliant_test tc170.vhd
+run_non_compliant_test tc174.vhd
+run_non_compliant_test tc175.vhd
+run_non_compliant_test tc177.vhd
+run_non_compliant_test tc178.vhd
+run_non_compliant_test tc181.vhd
+run_non_compliant_test tc184.vhd
+run_non_compliant_test tc185.vhd
+run_non_compliant_test tc186.vhd
+run_non_compliant_test tc189.vhd
+run_non_compliant_test tc190.vhd
+run_non_compliant_test tc191.vhd
+run_non_compliant_test tc192.vhd
+run_non_compliant_test tc193.vhd
+run_non_compliant_test tc195.vhd
+run_non_compliant_test tc196.vhd
+run_non_compliant_test tc197.vhd
+run_non_compliant_test tc199.vhd
+run_non_compliant_test tc200.vhd
+run_non_compliant_test tc202.vhd
+run_non_compliant_test tc207.vhd
+run_non_compliant_test tc210.vhd
+run_non_compliant_test tc212.vhd
+run_non_compliant_test tc214.vhd
+run_non_compliant_test tc215.vhd
+run_non_compliant_test tc216.vhd
+run_non_compliant_test tc221.vhd
+run_non_compliant_test tc222.vhd
+run_non_compliant_test tc223.vhd
+run_non_compliant_test tc224.vhd
+run_non_compliant_test tc225.vhd
+run_non_compliant_test tc226.vhd
+run_non_compliant_test tc227.vhd
+run_non_compliant_test tc231.vhd
+run_non_compliant_test tc235.vhd
+run_non_compliant_test tc236.vhd
+run_non_compliant_test tc240.vhd
+run_non_compliant_test tc242.vhd
+run_non_compliant_test tc243.vhd
+run_non_compliant_test tc244.vhd
+run_non_compliant_test tc245.vhd
+run_non_compliant_test tc246.vhd
+run_non_compliant_test tc247.vhd
+run_non_compliant_test tc248.vhd
+run_non_compliant_test tc249.vhd
+run_non_compliant_test tc250.vhd
+run_non_compliant_test tc252.vhd
+run_non_compliant_test tc253.vhd
+run_non_compliant_test tc256.vhd
+run_non_compliant_test tc257.vhd
+run_non_compliant_test tc266.vhd
+run_non_compliant_test tc267.vhd
+run_non_compliant_test tc268.vhd
+run_non_compliant_test tc269.vhd
+run_non_compliant_test tc270.vhd
+run_non_compliant_test tc271.vhd
+run_non_compliant_test tc273.vhd
+run_non_compliant_test tc274.vhd
+run_non_compliant_test tc275.vhd
+run_non_compliant_test tc280.vhd
+run_non_compliant_test tc282.vhd
+run_non_compliant_test tc283.vhd
+run_non_compliant_test tc289.vhd
+run_non_compliant_test tc296.vhd
+run_non_compliant_test tc300.vhd
+run_non_compliant_test tc302.vhd
+run_non_compliant_test tc303.vhd
+run_non_compliant_test tc304.vhd
+run_non_compliant_test tc305.vhd
+run_non_compliant_test tc306.vhd
+run_non_compliant_test tc307.vhd
+run_non_compliant_test tc310.vhd
+run_non_compliant_test tc315.vhd
+run_non_compliant_test tc316.vhd
+run_non_compliant_test tc321.vhd
+run_non_compliant_test tc324.vhd
+run_non_compliant_test tc325.vhd
+run_non_compliant_test tc327.vhd
+run_non_compliant_test tc328.vhd
+run_non_compliant_test tc329.vhd
+run_non_compliant_test tc330.vhd
+run_non_compliant_test tc331.vhd
+run_non_compliant_test tc332.vhd
+run_non_compliant_test tc336.vhd
+run_non_compliant_test tc338.vhd
+run_non_compliant_test tc340.vhd
+run_non_compliant_test tc342.vhd
+run_non_compliant_test tc345.vhd
+run_non_compliant_test tc348.vhd
+run_non_compliant_test tc352.vhd
+run_non_compliant_test tc353.vhd
+run_non_compliant_test tc354.vhd
+run_non_compliant_test tc356.vhd
+run_non_compliant_test tc357.vhd
+run_non_compliant_test tc358.vhd
+run_non_compliant_test tc360.vhd
+run_non_compliant_test tc362.vhd
+run_non_compliant_test tc363.vhd
+run_non_compliant_test tc367.vhd
+run_non_compliant_test tc368.vhd
+run_non_compliant_test tc369.vhd
+run_non_compliant_test tc370.vhd
+run_non_compliant_test tc371.vhd
+run_non_compliant_test tc372.vhd
+run_non_compliant_test tc373.vhd
+run_non_compliant_test tc374.vhd
+run_non_compliant_test tc375.vhd
+run_non_compliant_test tc379.vhd
+run_non_compliant_test tc380.vhd
+run_non_compliant_test tc383.vhd
+run_non_compliant_test tc384.vhd
+run_non_compliant_test tc389.vhd
+run_non_compliant_test tc390.vhd
+run_non_compliant_test tc391.vhd
+run_non_compliant_test tc394.vhd
+run_non_compliant_test tc396.vhd
+run_non_compliant_test tc405.vhd
+run_non_compliant_test tc502.vhd
+run_non_compliant_test tc504.vhd
+run_non_compliant_test tc506.vhd
+run_non_compliant_test tc507.vhd
+run_non_compliant_test tc508.vhd
+run_non_compliant_test tc509.vhd
+run_non_compliant_test tc510.vhd
+run_non_compliant_test tc511.vhd
+run_non_compliant_test tc514.vhd
+run_non_compliant_test tc518.vhd
+run_non_compliant_test tc532.vhd
+run_non_compliant_test tc533.vhd
+run_non_compliant_test tc540.vhd
+run_non_compliant_test tc543.vhd
+run_non_compliant_test tc547.vhd
+run_non_compliant_test tc548.vhd
+run_non_compliant_test tc549.vhd
+run_non_compliant_test tc550.vhd
+run_non_compliant_test tc551.vhd
+run_non_compliant_test tc552.vhd
+run_non_compliant_test tc553.vhd
+run_non_compliant_test tc714.vhd
+run_non_compliant_test tc715.vhd
+run_non_compliant_test tc716.vhd
+run_non_compliant_test tc718.vhd
+run_non_compliant_test tc720.vhd
+run_non_compliant_test tc721.vhd
+run_non_compliant_test tc722.vhd
+run_non_compliant_test tc723.vhd
+run_non_compliant_test tc724.vhd
+run_non_compliant_test tc725.vhd
+run_non_compliant_test tc726.vhd
+run_non_compliant_test tc727.vhd
+run_non_compliant_test tc728.vhd
+run_non_compliant_test tc729.vhd
+run_non_compliant_test tc730.vhd
+run_non_compliant_test tc732.vhd
+run_non_compliant_test tc733.vhd
+run_non_compliant_test tc734.vhd
+run_non_compliant_test tc735.vhd
+run_non_compliant_test tc736.vhd
+run_non_compliant_test tc738.vhd
+run_non_compliant_test tc739.vhd
+run_non_compliant_test tc764.vhd
+run_non_compliant_test tc766.vhd
+run_non_compliant_test tc767.vhd
+run_non_compliant_test tc769.vhd
+run_non_compliant_test tc770.vhd
+run_non_compliant_test tc771.vhd
+run_non_compliant_test tc773.vhd
+run_non_compliant_test tc774.vhd
+run_non_compliant_test tc775.vhd
+run_non_compliant_test tc779.vhd
+run_non_compliant_test tc780.vhd
+run_non_compliant_test tc781.vhd
+run_non_compliant_test tc783.vhd
+run_non_compliant_test tc785.vhd
+run_non_compliant_test tc786.vhd
+run_non_compliant_test tc787.vhd
+run_non_compliant_test tc788.vhd
+run_non_compliant_test tc789.vhd
+run_non_compliant_test tc790.vhd
+run_non_compliant_test tc793.vhd
+run_non_compliant_test tc794.vhd
+run_non_compliant_test tc795.vhd
+run_non_compliant_test tc796.vhd
+run_non_compliant_test tc797.vhd
+run_non_compliant_test tc798.vhd
+run_non_compliant_test tc799.vhd
+run_non_compliant_test tc800.vhd
+run_non_compliant_test tc801.vhd
+run_non_compliant_test tc802.vhd
+run_non_compliant_test tc803.vhd
+run_non_compliant_test tc804.vhd
+run_non_compliant_test tc806.vhd
+run_non_compliant_test tc807.vhd
+run_non_compliant_test tc808.vhd
+run_non_compliant_test tc809.vhd
+run_non_compliant_test tc810.vhd
+run_non_compliant_test tc811.vhd
+run_non_compliant_test tc812.vhd
+run_non_compliant_test tc813.vhd
+run_non_compliant_test tc815.vhd
+run_non_compliant_test tc818.vhd
+run_non_compliant_test tc819.vhd
+run_non_compliant_test tc821.vhd
+run_non_compliant_test tc822.vhd
+run_non_compliant_test tc823.vhd
+run_non_compliant_test tc824.vhd
+run_non_compliant_test tc825.vhd
+run_non_compliant_test tc826.vhd
+run_non_compliant_test tc827.vhd
+run_non_compliant_test tc828.vhd
+run_non_compliant_test tc829.vhd
+run_non_compliant_test tc830.vhd
+run_non_compliant_test tc831.vhd
+run_non_compliant_test tc832.vhd
+run_non_compliant_test tc834.vhd
+run_non_compliant_test tc835.vhd
+run_non_compliant_test tc836.vhd
+run_non_compliant_test tc838.vhd
+run_non_compliant_test tc839.vhd
+run_non_compliant_test tc841.vhd
+run_non_compliant_test tc845.vhd
+run_non_compliant_test tc847.vhd
+run_non_compliant_test tc848.vhd
+run_non_compliant_test tc875.vhd
+run_non_compliant_test tc899.vhd
+run_non_compliant_test tc901.vhd
+run_non_compliant_test tc903.vhd
+run_non_compliant_test tc904.vhd
+run_non_compliant_test tc905.vhd
+run_non_compliant_test tc906.vhd
+run_non_compliant_test tc907.vhd
+run_non_compliant_test tc908.vhd
+run_non_compliant_test tc909.vhd
+run_non_compliant_test tc910.vhd
+run_non_compliant_test tc928.vhd
+run_non_compliant_test tc929.vhd
+run_non_compliant_test tc937.vhd
+run_non_compliant_test tc939.vhd
+run_non_compliant_test tc941.vhd
+run_non_compliant_test tc944.vhd
+run_non_compliant_test tc946.vhd
+run_non_compliant_test tc947.vhd
+run_non_compliant_test tc948.vhd
+run_non_compliant_test tc949.vhd
+run_non_compliant_test tc950.vhd
+run_non_compliant_test tc957.vhd
+run_non_compliant_test tc959.vhd
+run_non_compliant_test tc960.vhd
+run_non_compliant_test tc961.vhd
+run_non_compliant_test tc963.vhd
+run_non_compliant_test tc967.vhd
+run_non_compliant_test tc970.vhd
+run_non_compliant_test tc971.vhd
+run_non_compliant_test tc972.vhd
+run_non_compliant_test tc974.vhd
+run_non_compliant_test tc975.vhd
+run_non_compliant_test tc976.vhd
+run_non_compliant_test tc977.vhd
+run_non_compliant_test tc978.vhd
+run_non_compliant_test tc979.vhd
+run_non_compliant_test tc980.vhd
+run_non_compliant_test tc981.vhd
+run_non_compliant_test tc982.vhd
+run_non_compliant_test tc984.vhd
+run_non_compliant_test tc985.vhd
+run_non_compliant_test tc989.vhd
+run_non_compliant_test tc990.vhd
+run_non_compliant_test tc991.vhd
+run_non_compliant_test tc994.vhd
+run_non_compliant_test tc997.vhd
+run_non_compliant_test tc998.vhd
+run_non_compliant_test tc999.vhd
+
+run_non_compliant_test tc1000.vhd
+run_non_compliant_test tc1001.vhd
+run_non_compliant_test tc1002.vhd
+run_non_compliant_test tc1003.vhd
+run_non_compliant_test tc1004.vhd
+run_non_compliant_test tc1005.vhd
+run_non_compliant_test tc1006.vhd
+run_non_compliant_test tc1007.vhd
+run_non_compliant_test tc1008.vhd
+run_non_compliant_test tc1014.vhd
+run_non_compliant_test tc1015.vhd
+run_non_compliant_test tc1016.vhd
+run_non_compliant_test tc1017.vhd
+run_non_compliant_test tc1018.vhd
+run_non_compliant_test tc1022.vhd
+run_non_compliant_test tc1023.vhd
+run_non_compliant_test tc1025.vhd
+run_non_compliant_test tc1036.vhd
+run_non_compliant_test tc1041.vhd
+run_non_compliant_test tc1042.vhd
+run_non_compliant_test tc1043.vhd
+run_non_compliant_test tc1044.vhd
+run_non_compliant_test tc1045.vhd
+run_non_compliant_test tc1046.vhd
+run_non_compliant_test tc1047.vhd
+run_non_compliant_test tc1048.vhd
+run_non_compliant_test tc1049.vhd
+run_non_compliant_test tc1051.vhd
+run_non_compliant_test tc1052.vhd
+run_non_compliant_test tc1053.vhd
+run_non_compliant_test tc1054.vhd
+run_non_compliant_test tc1055.vhd
+run_non_compliant_test tc1056.vhd
+run_non_compliant_test tc1057.vhd
+run_non_compliant_test tc1058.vhd
+run_non_compliant_test tc1059.vhd
+run_non_compliant_test tc1060.vhd
+run_non_compliant_test tc1061.vhd
+run_non_compliant_test tc1062.vhd
+run_non_compliant_test tc1063.vhd
+run_non_compliant_test tc1064.vhd
+run_non_compliant_test tc1065.vhd
+run_non_compliant_test tc1066.vhd
+run_non_compliant_test tc1068.vhd
+run_non_compliant_test tc1082.vhd
+run_non_compliant_test tc1083.vhd
+run_non_compliant_test tc1084.vhd
+run_non_compliant_test tc1085.vhd
+run_non_compliant_test tc1093.vhd
+run_non_compliant_test tc1094.vhd
+run_non_compliant_test tc1095.vhd
+run_non_compliant_test tc1096.vhd
+run_non_compliant_test tc1097.vhd
+run_non_compliant_test tc1098.vhd
+run_non_compliant_test tc1099.vhd
+run_non_compliant_test tc1100.vhd
+run_non_compliant_test tc1101.vhd
+run_non_compliant_test tc1102.vhd
+run_non_compliant_test tc1105.vhd
+run_non_compliant_test tc1106.vhd
+run_non_compliant_test tc1107.vhd
+run_non_compliant_test tc1108.vhd
+run_non_compliant_test tc1109.vhd
+run_non_compliant_test tc1110.vhd
+run_non_compliant_test tc1111.vhd
+run_non_compliant_test tc1112.vhd
+run_non_compliant_test tc1113.vhd
+run_non_compliant_test tc1114.vhd
+run_non_compliant_test tc1115.vhd
+run_non_compliant_test tc1116.vhd
+run_non_compliant_test tc1118.vhd
+run_non_compliant_test tc1124.vhd
+run_non_compliant_test tc1125.vhd
+run_non_compliant_test tc1127.vhd
+run_non_compliant_test tc1128.vhd
+run_non_compliant_test tc1129.vhd
+run_non_compliant_test tc1130.vhd
+run_non_compliant_test tc1131.vhd
+run_non_compliant_test tc1132.vhd
+run_non_compliant_test tc1133.vhd
+run_non_compliant_test tc1134.vhd
+run_non_compliant_test tc1135.vhd
+run_non_compliant_test tc1136.vhd
+run_non_compliant_test tc1141.vhd
+run_non_compliant_test tc1142.vhd
+run_non_compliant_test tc1143.vhd
+run_non_compliant_test tc1144.vhd
+run_non_compliant_test tc1146.vhd
+run_non_compliant_test tc1160.vhd
+run_non_compliant_test tc1161.vhd
+run_non_compliant_test tc1162.vhd
+run_non_compliant_test tc1163.vhd
+run_non_compliant_test tc1168.vhd
+run_non_compliant_test tc1169.vhd
+run_non_compliant_test tc1170.vhd
+run_non_compliant_test tc1171.vhd
+run_non_compliant_test tc1172.vhd
+run_non_compliant_test tc1173.vhd
+run_non_compliant_test tc1181.vhd
+run_non_compliant_test tc1184.vhd
+run_non_compliant_test tc1185.vhd
+run_non_compliant_test tc1186.vhd
+run_non_compliant_test tc1188.vhd
+run_non_compliant_test tc1189.vhd
+run_non_compliant_test tc1190.vhd
+run_non_compliant_test tc1191.vhd
+run_non_compliant_test tc1193.vhd
+run_non_compliant_test tc1194.vhd
+run_non_compliant_test tc1195.vhd
+run_non_compliant_test tc1196.vhd
+run_non_compliant_test tc1198.vhd
+run_non_compliant_test tc1200.vhd
+run_non_compliant_test tc1201.vhd
+run_non_compliant_test tc1202.vhd
+run_non_compliant_test tc1203.vhd
+run_non_compliant_test tc1204.vhd
+run_non_compliant_test tc1217.vhd
+run_non_compliant_test tc1219.vhd
+run_non_compliant_test tc1226.vhd
+run_non_compliant_test tc1228.vhd
+run_non_compliant_test tc1229.vhd
+run_non_compliant_test tc1231.vhd
+run_non_compliant_test tc1235.vhd
+run_non_compliant_test tc1236.vhd
+run_non_compliant_test tc1237.vhd
+run_non_compliant_test tc1238.vhd
+run_non_compliant_test tc1239.vhd
+run_non_compliant_test tc1240.vhd
+run_non_compliant_test tc1241.vhd
+run_non_compliant_test tc1242.vhd
+run_non_compliant_test tc1243.vhd
+run_non_compliant_test tc1244.vhd
+run_non_compliant_test tc1245.vhd
+run_non_compliant_test tc1246.vhd
+run_non_compliant_test tc1247.vhd
+run_non_compliant_test tc1248.vhd
+run_non_compliant_test tc1249.vhd
+run_non_compliant_test tc1250.vhd
+run_non_compliant_test tc1251.vhd
+run_non_compliant_test tc1252.vhd
+run_non_compliant_test tc1253.vhd
+run_non_compliant_test tc1254.vhd
+run_non_compliant_test tc1255.vhd
+run_non_compliant_test tc1264.vhd
+run_non_compliant_test tc1270.vhd
+run_non_compliant_test tc1271.vhd
+run_non_compliant_test tc1272.vhd
+run_non_compliant_test tc1273.vhd
+run_non_compliant_test tc1274.vhd
+run_non_compliant_test tc1275.vhd
+run_non_compliant_test tc1276.vhd
+run_non_compliant_test tc1277.vhd
+run_non_compliant_test tc1278.vhd
+run_non_compliant_test tc1279.vhd
+run_non_compliant_test tc1280.vhd
+run_non_compliant_test tc1281.vhd
+run_non_compliant_test tc1282.vhd
+run_non_compliant_test tc1283.vhd
+run_non_compliant_test tc1284.vhd
+run_non_compliant_test tc1285.vhd
+run_non_compliant_test tc1286.vhd
+run_non_compliant_test tc1287.vhd
+run_non_compliant_test tc1288.vhd
+run_non_compliant_test tc1289.vhd
+run_non_compliant_test tc1290.vhd
+run_non_compliant_test tc1291.vhd
+run_non_compliant_test tc1293.vhd
+run_non_compliant_test tc1295.vhd
+run_non_compliant_test tc1296.vhd
+run_non_compliant_test tc1297.vhd
+run_non_compliant_test tc1298.vhd
+run_non_compliant_test tc1300.vhd
+run_non_compliant_test tc1301.vhd
+run_non_compliant_test tc1302.vhd
+run_non_compliant_test tc1303.vhd
+run_non_compliant_test tc1304.vhd
+run_non_compliant_test tc1305.vhd
+run_non_compliant_test tc1308.vhd
+run_non_compliant_test tc1311.vhd
+run_non_compliant_test tc1312.vhd
+run_non_compliant_test tc1313.vhd
+run_non_compliant_test tc1314.vhd
+run_non_compliant_test tc1315.vhd
+run_non_compliant_test tc1319.vhd
+run_non_compliant_test tc1320.vhd
+run_non_compliant_test tc1324.vhd
+run_non_compliant_test tc1325.vhd
+run_non_compliant_test tc1326.vhd
+run_non_compliant_test tc1329.vhd
+run_non_compliant_test tc1330.vhd
+run_non_compliant_test tc1333.vhd
+run_non_compliant_test tc1334.vhd
+run_non_compliant_test tc1345.vhd
+run_non_compliant_test tc1346.vhd
+run_non_compliant_test tc1351.vhd
+run_non_compliant_test tc1352.vhd
+run_non_compliant_test tc1353.vhd
+run_non_compliant_test tc1355.vhd
+run_non_compliant_test tc1357.vhd
+run_non_compliant_test tc1358.vhd
+run_non_compliant_test tc1376.vhd
+run_non_compliant_test tc1377.vhd
+run_non_compliant_test tc1378.vhd
+run_non_compliant_test tc1379.vhd
+run_non_compliant_test tc1380.vhd
+run_non_compliant_test tc1381.vhd
+run_non_compliant_test tc1382.vhd
+run_non_compliant_test tc1383.vhd
+run_non_compliant_test tc1384.vhd
+run_non_compliant_test tc1385.vhd
+run_non_compliant_test tc1388.vhd
+run_non_compliant_test tc1391.vhd
+run_non_compliant_test tc1395.vhd
+run_non_compliant_test tc1396.vhd
+run_non_compliant_test tc1397.vhd
+run_non_compliant_test tc1398.vhd
+run_non_compliant_test tc1406.vhd
+run_non_compliant_test tc1407.vhd
+run_non_compliant_test tc1408.vhd
+run_non_compliant_test tc1411.vhd
+run_non_compliant_test tc1415.vhd
+run_non_compliant_test tc1416.vhd
+run_non_compliant_test tc1417.vhd
+run_non_compliant_test tc1418.vhd
+run_non_compliant_test tc1419.vhd
+run_non_compliant_test tc1420.vhd
+run_non_compliant_test tc1426.vhd
+run_non_compliant_test tc1427.vhd
+run_non_compliant_test tc1428.vhd
+run_non_compliant_test tc1429.vhd
+run_non_compliant_test tc1430.vhd
+run_non_compliant_test tc1431.vhd
+run_non_compliant_test tc1432.vhd
+run_non_compliant_test tc1433.vhd
+run_non_compliant_test tc1434.vhd
+run_non_compliant_test tc1435.vhd
+run_non_compliant_test tc1436.vhd
+run_non_compliant_test tc1437.vhd
+run_non_compliant_test tc1438.vhd
+run_non_compliant_test tc1439.vhd
+run_non_compliant_test tc1440.vhd
+run_non_compliant_test tc1441.vhd
+run_non_compliant_test tc1449.vhd
+run_non_compliant_test tc1453.vhd
+run_non_compliant_test tc1454.vhd
+run_non_compliant_test tc1455.vhd
+run_non_compliant_test tc1464.vhd
+run_non_compliant_test tc1465.vhd
+run_non_compliant_test tc1466.vhd
+run_non_compliant_test tc1467.vhd
+run_non_compliant_test tc1468.vhd
+run_non_compliant_test tc1469.vhd
+run_non_compliant_test tc1470.vhd
+run_non_compliant_test tc1471.vhd
+run_non_compliant_test tc1472.vhd
+run_non_compliant_test tc1476.vhd
+run_non_compliant_test tc1477.vhd
+run_non_compliant_test tc1478.vhd
+run_non_compliant_test tc1479.vhd
+run_non_compliant_test tc1480.vhd
+run_non_compliant_test tc1481.vhd
+run_non_compliant_test tc1482.vhd
+run_non_compliant_test tc1484.vhd
+run_non_compliant_test tc1489.vhd
+run_non_compliant_test tc1490.vhd
+run_non_compliant_test tc1492.vhd
+run_non_compliant_test tc1494.vhd
+run_non_compliant_test tc1498.vhd
+run_non_compliant_test tc1501.vhd
+run_non_compliant_test tc1502.vhd
+run_non_compliant_test tc1503.vhd
+run_non_compliant_test tc1504.vhd
+run_non_compliant_test tc1506.vhd
+run_non_compliant_test tc1513.vhd
+run_non_compliant_test tc1520.vhd
+run_non_compliant_test tc1525.vhd
+run_non_compliant_test tc1526.vhd
+run_non_compliant_test tc1527.vhd
+run_non_compliant_test tc1528.vhd
+run_non_compliant_test tc1532.vhd
+run_non_compliant_test tc1533.vhd
+run_non_compliant_test tc1534.vhd
+run_non_compliant_test tc1535.vhd
+run_non_compliant_test tc1537.vhd
+run_non_compliant_test tc1538.vhd
+run_non_compliant_test tc1541.vhd
+run_non_compliant_test tc1542.vhd
+run_non_compliant_test tc1556.vhd
+run_non_compliant_test tc1557.vhd
+run_non_compliant_test tc1559.vhd
+run_non_compliant_test tc1561.vhd
+run_non_compliant_test tc1562.vhd
+run_non_compliant_test tc1563.vhd
+run_non_compliant_test tc1564.vhd
+run_non_compliant_test tc1575.vhd
+run_non_compliant_test tc1577.vhd
+run_non_compliant_test tc1578.vhd
+run_non_compliant_test tc1579.vhd
+run_non_compliant_test tc1580.vhd
+run_non_compliant_test tc1584.vhd
+run_non_compliant_test tc1586.vhd
+run_non_compliant_test tc1588.vhd
+run_non_compliant_test tc1590.vhd
+run_non_compliant_test tc1591.vhd
+run_non_compliant_test tc1592.vhd
+run_non_compliant_test tc1593.vhd
+run_non_compliant_test tc1595.vhd
+run_non_compliant_test tc1602.vhd
+run_non_compliant_test tc1604.vhd
+run_non_compliant_test tc1605.vhd
+run_non_compliant_test tc1609.vhd
+run_non_compliant_test tc1610.vhd
+run_non_compliant_test tc1611.vhd
+run_non_compliant_test tc1612.vhd
+run_non_compliant_test tc1615.vhd
+run_non_compliant_test tc1616.vhd
+run_non_compliant_test tc1618.vhd
+run_non_compliant_test tc1620.vhd
+run_non_compliant_test tc1621.vhd
+run_non_compliant_test tc1622.vhd
+run_non_compliant_test tc1623.vhd
+run_non_compliant_test tc1624.vhd
+run_non_compliant_test tc1625.vhd
+run_non_compliant_test tc1626.vhd
+run_non_compliant_test tc1627.vhd
+run_non_compliant_test tc1628.vhd
+run_non_compliant_test tc1629.vhd
+run_non_compliant_test tc1631.vhd
+run_non_compliant_test tc1632.vhd
+run_non_compliant_test tc1638.vhd
+run_non_compliant_test tc1640.vhd
+run_non_compliant_test tc1645.vhd
+run_non_compliant_test tc1652.vhd
+run_non_compliant_test tc1656.vhd
+run_non_compliant_test tc1657.vhd
+run_non_compliant_test tc1660.vhd
+run_non_compliant_test tc1661.vhd
+run_non_compliant_test tc1663.vhd
+run_non_compliant_test tc1664.vhd
+run_non_compliant_test tc1666.vhd
+run_non_compliant_test tc1667.vhd
+run_non_compliant_test tc1668.vhd
+run_non_compliant_test tc1669.vhd
+run_non_compliant_test tc1670.vhd
+run_non_compliant_test tc1671.vhd
+run_non_compliant_test tc1672.vhd
+run_non_compliant_test tc1673.vhd
+run_non_compliant_test tc1674.vhd
+run_non_compliant_test tc1676.vhd
+run_non_compliant_test tc1680.vhd
+run_non_compliant_test tc1682.vhd
+run_non_compliant_test tc1683.vhd
+run_non_compliant_test tc1685.vhd
+run_non_compliant_test tc1686.vhd
+run_non_compliant_test tc1687.vhd
+run_non_compliant_test tc1688.vhd
+run_non_compliant_test tc1689.vhd
+run_non_compliant_test tc1692.vhd
+run_non_compliant_test tc1693.vhd
+run_non_compliant_test tc1694.vhd
+run_non_compliant_test tc1695.vhd
+run_non_compliant_test tc1696.vhd
+run_non_compliant_test tc1697.vhd
+run_non_compliant_test tc1699.vhd
+run_non_compliant_test tc1700.vhd
+run_non_compliant_test tc1701.vhd
+run_non_compliant_test tc1702.vhd
+run_non_compliant_test tc1706.vhd
+run_non_compliant_test tc1712.vhd
+run_non_compliant_test tc1713.vhd
+run_non_compliant_test tc1714.vhd
+run_non_compliant_test tc1715.vhd
+run_non_compliant_test tc1716.vhd
+run_non_compliant_test tc1722.vhd
+run_non_compliant_test tc1726.vhd
+run_non_compliant_test tc1730.vhd
+run_non_compliant_test tc1731.vhd
+run_non_compliant_test tc1741.vhd
+run_non_compliant_test tc1742.vhd
+run_non_compliant_test tc1743.vhd
+run_non_compliant_test tc1746.vhd
+run_non_compliant_test tc1750.vhd
+run_non_compliant_test tc1751.vhd
+run_non_compliant_test tc1752.vhd
+run_non_compliant_test tc1754.vhd
+run_non_compliant_test tc1755.vhd
+run_non_compliant_test tc1758.vhd
+run_non_compliant_test tc1759.vhd
+run_non_compliant_test tc1760.vhd
+run_non_compliant_test tc1764.vhd
+run_non_compliant_test tc1765.vhd
+run_non_compliant_test tc1767.vhd
+run_non_compliant_test tc1768.vhd
+run_non_compliant_test tc1769.vhd
+run_non_compliant_test tc1770.vhd
+run_non_compliant_test tc1771.vhd
+run_non_compliant_test tc1772.vhd
+run_non_compliant_test tc1773.vhd
+run_non_compliant_test tc1774.vhd
+run_non_compliant_test tc1777.vhd
+run_non_compliant_test tc1778.vhd
+run_non_compliant_test tc1780.vhd
+run_non_compliant_test tc1783.vhd
+run_non_compliant_test tc1784.vhd
+run_non_compliant_test tc1786.vhd
+run_non_compliant_test tc1788.vhd
+run_non_compliant_test tc1789.vhd
+run_non_compliant_test tc1790.vhd
+run_non_compliant_test tc1791.vhd
+run_non_compliant_test tc1794.vhd
+run_non_compliant_test tc1795.vhd
+run_non_compliant_test tc1796.vhd
+run_non_compliant_test tc1797.vhd
+run_non_compliant_test tc1799.vhd
+run_non_compliant_test tc1800.vhd
+run_non_compliant_test tc1802.vhd
+run_non_compliant_test tc1805.vhd
+run_non_compliant_test tc1806.vhd
+run_non_compliant_test tc1811.vhd
+run_non_compliant_test tc1812.vhd
+run_non_compliant_test tc1814.vhd
+run_non_compliant_test tc1815.vhd
+run_non_compliant_test tc1816.vhd
+run_non_compliant_test tc1817.vhd
+run_non_compliant_test tc1818.vhd
+run_non_compliant_test tc1819.vhd
+run_non_compliant_test tc1820.vhd
+run_non_compliant_test tc1821.vhd
+run_non_compliant_test tc1822.vhd
+run_non_compliant_test tc1823.vhd
+run_non_compliant_test tc1824.vhd
+run_non_compliant_test tc1825.vhd
+run_non_compliant_test tc1826.vhd
+run_non_compliant_test tc1827.vhd
+run_non_compliant_test tc1828.vhd
+run_non_compliant_test tc1829.vhd
+run_non_compliant_test tc1830.vhd
+run_non_compliant_test tc1831.vhd
+run_non_compliant_test tc1832.vhd
+run_non_compliant_test tc1833.vhd
+run_non_compliant_test tc1834.vhd
+run_non_compliant_test tc1835.vhd
+run_non_compliant_test tc1836.vhd
+run_non_compliant_test tc1837.vhd
+run_non_compliant_test tc1838.vhd
+run_non_compliant_test tc1839.vhd
+run_non_compliant_test tc1840.vhd
+run_non_compliant_test tc1841.vhd
+run_non_compliant_test tc1842.vhd
+run_non_compliant_test tc1843.vhd
+run_non_compliant_test tc1844.vhd
+run_non_compliant_test tc1845.vhd
+run_non_compliant_test tc1846.vhd
+run_non_compliant_test tc1847.vhd
+run_non_compliant_test tc1848.vhd
+run_non_compliant_test tc1849.vhd
+run_non_compliant_test tc1850.vhd
+run_non_compliant_test tc1851.vhd
+run_non_compliant_test tc1852.vhd
+run_non_compliant_test tc1853.vhd
+run_non_compliant_test tc1854.vhd
+run_non_compliant_test tc1855.vhd
+run_non_compliant_test tc1856.vhd
+run_non_compliant_test tc1857.vhd
+run_non_compliant_test tc1858.vhd
+run_non_compliant_test tc1859.vhd
+run_non_compliant_test tc1860.vhd
+run_non_compliant_test tc1861.vhd
+run_non_compliant_test tc1862.vhd
+run_non_compliant_test tc1863.vhd
+run_non_compliant_test tc1864.vhd
+run_non_compliant_test tc1865.vhd
+run_non_compliant_test tc1866.vhd
+run_non_compliant_test tc1867.vhd
+run_non_compliant_test tc1868.vhd
+run_non_compliant_test tc1869.vhd
+run_non_compliant_test tc1870.vhd
+run_non_compliant_test tc1871.vhd
+run_non_compliant_test tc1872.vhd
+run_non_compliant_test tc1873.vhd
+run_non_compliant_test tc1874.vhd
+run_non_compliant_test tc1875.vhd
+run_non_compliant_test tc1876.vhd
+run_non_compliant_test tc1877.vhd
+run_non_compliant_test tc1878.vhd
+run_non_compliant_test tc1879.vhd
+run_non_compliant_test tc1880.vhd
+run_non_compliant_test tc1881.vhd
+run_non_compliant_test tc1882.vhd
+run_non_compliant_test tc1883.vhd
+run_non_compliant_test tc1884.vhd
+run_non_compliant_test tc1885.vhd
+run_non_compliant_test tc1886.vhd
+run_non_compliant_test tc1887.vhd
+run_non_compliant_test tc1888.vhd
+run_non_compliant_test tc1889.vhd
+run_non_compliant_test tc1890.vhd
+run_non_compliant_test tc1891.vhd
+run_non_compliant_test tc1892.vhd
+run_non_compliant_test tc1893.vhd
+run_non_compliant_test tc1894.vhd
+run_non_compliant_test tc1895.vhd
+run_non_compliant_test tc1896.vhd
+run_non_compliant_test tc1897.vhd
+run_non_compliant_test tc1898.vhd
+run_non_compliant_test tc1899.vhd
+run_non_compliant_test tc1900.vhd
+run_non_compliant_test tc1901.vhd
+run_non_compliant_test tc1902.vhd
+run_non_compliant_test tc1903.vhd
+run_non_compliant_test tc1904.vhd
+run_non_compliant_test tc1905.vhd
+run_non_compliant_test tc1913.vhd
+run_non_compliant_test tc1926.vhd
+run_non_compliant_test tc1927.vhd
+run_non_compliant_test tc1928.vhd
+run_non_compliant_test tc1929.vhd
+run_non_compliant_test tc1930.vhd
+run_non_compliant_test tc1933.vhd
+run_non_compliant_test tc1934.vhd
+run_non_compliant_test tc1935.vhd
+run_non_compliant_test tc1936.vhd
+run_non_compliant_test tc1937.vhd
+run_non_compliant_test tc1938.vhd
+run_non_compliant_test tc1939.vhd
+run_non_compliant_test tc1940.vhd
+run_non_compliant_test tc1941.vhd
+run_non_compliant_test tc1977.vhd
+run_non_compliant_test tc1979.vhd
+run_non_compliant_test tc1980.vhd
+run_non_compliant_test tc1989.vhd
+run_non_compliant_test tc1990.vhd
+run_non_compliant_test tc2002.vhd
+run_non_compliant_test tc2003.vhd
+run_non_compliant_test tc2009.vhd
+run_non_compliant_test tc2018.vhd
+run_non_compliant_test tc2019.vhd
+run_non_compliant_test tc2020.vhd
+run_non_compliant_test tc2023.vhd
+run_non_compliant_test tc2024.vhd
+run_non_compliant_test tc2025.vhd
+run_non_compliant_test tc2026.vhd
+run_non_compliant_test tc2027.vhd
+run_non_compliant_test tc2028.vhd
+run_non_compliant_test tc2029.vhd
+run_non_compliant_test tc2030.vhd
+run_non_compliant_test tc2031.vhd
+run_non_compliant_test tc2032.vhd
+run_non_compliant_test tc2033.vhd
+run_non_compliant_test tc2034.vhd
+run_non_compliant_test tc2035.vhd
+run_non_compliant_test tc2036.vhd
+run_non_compliant_test tc2037.vhd
+run_non_compliant_test tc2038.vhd
+run_non_compliant_test tc2039.vhd
+run_non_compliant_test tc2040.vhd
+run_non_compliant_test tc2041.vhd
+run_non_compliant_test tc2042.vhd
+run_non_compliant_test tc2043.vhd
+run_non_compliant_test tc2044.vhd
+run_non_compliant_test tc2045.vhd
+run_non_compliant_test tc2046.vhd
+run_non_compliant_test tc2047.vhd
+run_non_compliant_test tc2048.vhd
+run_non_compliant_test tc2049.vhd
+run_non_compliant_test tc2050.vhd
+run_non_compliant_test tc2051.vhd
+run_non_compliant_test tc2052.vhd
+run_non_compliant_test tc2053.vhd
+run_non_compliant_test tc2054.vhd
+run_non_compliant_test tc2055.vhd
+run_non_compliant_test tc2056.vhd
+run_non_compliant_test tc2057.vhd
+run_non_compliant_test tc2058.vhd
+run_non_compliant_test tc2059.vhd
+run_non_compliant_test tc2060.vhd
+run_non_compliant_test tc2064.vhd
+run_non_compliant_test tc2065.vhd
+run_non_compliant_test tc2066.vhd
+run_non_compliant_test tc2067.vhd
+run_non_compliant_test tc2068.vhd
+run_non_compliant_test tc2069.vhd
+run_non_compliant_test tc2070.vhd
+run_non_compliant_test tc2071.vhd
+run_non_compliant_test tc2072.vhd
+run_non_compliant_test tc2073.vhd
+run_non_compliant_test tc2074.vhd
+run_non_compliant_test tc2075.vhd
+run_non_compliant_test tc2076.vhd
+run_non_compliant_test tc2170.vhd
+run_non_compliant_test tc2171.vhd
+run_non_compliant_test tc2188.vhd
+run_non_compliant_test tc2189.vhd
+run_non_compliant_test tc2190.vhd
+run_non_compliant_test tc2191.vhd
+run_non_compliant_test tc2192.vhd
+run_non_compliant_test tc2193.vhd
+run_non_compliant_test tc2194.vhd
+run_non_compliant_test tc2195.vhd
+run_non_compliant_test tc2196.vhd
+run_non_compliant_test tc2197.vhd
+run_non_compliant_test tc2198.vhd
+run_non_compliant_test tc2201.vhd
+run_non_compliant_test tc2202.vhd
+run_non_compliant_test tc2207.vhd
+run_non_compliant_test tc2209.vhd
+run_non_compliant_test tc2210.vhd
+run_non_compliant_test tc2211.vhd
+run_non_compliant_test tc2212.vhd
+run_non_compliant_test tc2213.vhd
+run_non_compliant_test tc2214.vhd
+run_non_compliant_test tc2215.vhd
+run_non_compliant_test tc2216.vhd
+run_non_compliant_test tc2217.vhd
+run_non_compliant_test tc2218.vhd
+run_non_compliant_test tc2219.vhd
+run_non_compliant_test tc2220.vhd
+run_non_compliant_test tc2221.vhd
+run_non_compliant_test tc2222.vhd
+run_non_compliant_test tc2223.vhd
+run_non_compliant_test tc2224.vhd
+run_non_compliant_test tc2225.vhd
+run_non_compliant_test tc2226.vhd
+run_non_compliant_test tc2227.vhd
+run_non_compliant_test tc2228.vhd
+run_non_compliant_test tc2229.vhd
+run_non_compliant_test tc2230.vhd
+run_non_compliant_test tc2231.vhd
+run_non_compliant_test tc2232.vhd
+run_non_compliant_test tc2233.vhd
+run_non_compliant_test tc2234.vhd
+run_non_compliant_test tc2235.vhd
+run_non_compliant_test tc2236.vhd
+run_non_compliant_test tc2237.vhd
+run_non_compliant_test tc2238.vhd
+run_non_compliant_test tc2239.vhd
+run_non_compliant_test tc2240.vhd
+run_non_compliant_test tc2241.vhd
+run_non_compliant_test tc2242.vhd
+run_non_compliant_test tc2243.vhd
+run_non_compliant_test tc2244.vhd
+run_non_compliant_test tc2245.vhd
+run_non_compliant_test tc2246.vhd
+run_non_compliant_test tc2247.vhd
+run_non_compliant_test tc2248.vhd
+run_non_compliant_test tc2249.vhd
+run_non_compliant_test tc2250.vhd
+run_non_compliant_test tc2251.vhd
+run_non_compliant_test tc2252.vhd
+run_non_compliant_test tc2253.vhd
+run_non_compliant_test tc2254.vhd
+run_non_compliant_test tc2255.vhd
+run_non_compliant_test tc2256.vhd
+run_non_compliant_test tc2273.vhd
+run_non_compliant_test tc2274.vhd
+run_non_compliant_test tc2275.vhd
+run_non_compliant_test tc2276.vhd
+run_non_compliant_test tc2277.vhd
+run_non_compliant_test tc2278.vhd
+run_non_compliant_test tc2279.vhd
+run_non_compliant_test tc2280.vhd
+run_non_compliant_test tc2281.vhd
+run_non_compliant_test tc2282.vhd
+run_non_compliant_test tc2306.vhd
+run_non_compliant_test tc2310.vhd
+run_non_compliant_test tc2311.vhd
+run_non_compliant_test tc2312.vhd
+run_non_compliant_test tc2313.vhd
+run_non_compliant_test tc2314.vhd
+run_non_compliant_test tc2315.vhd
+run_non_compliant_test tc2316.vhd
+run_non_compliant_test tc2317.vhd
+run_non_compliant_test tc2318.vhd
+run_non_compliant_test tc2319.vhd
+run_non_compliant_test tc2320.vhd
+run_non_compliant_test tc2321.vhd
+run_non_compliant_test tc2322.vhd
+run_non_compliant_test tc2323.vhd
+run_non_compliant_test tc2327.vhd
+run_non_compliant_test tc2328.vhd
+run_non_compliant_test tc2333.vhd
+run_non_compliant_test tc2334.vhd
+run_non_compliant_test tc2335.vhd
+run_non_compliant_test tc2336.vhd
+run_non_compliant_test tc2337.vhd
+run_non_compliant_test tc2338.vhd
+run_non_compliant_test tc2339.vhd
+run_non_compliant_test tc2340.vhd
+run_non_compliant_test tc2341.vhd
+run_non_compliant_test tc2342.vhd
+run_non_compliant_test tc2343.vhd
+run_non_compliant_test tc2344.vhd
+run_non_compliant_test tc2345.vhd
+run_non_compliant_test tc2346.vhd
+run_non_compliant_test tc2347.vhd
+run_non_compliant_test tc2348.vhd
+run_non_compliant_test tc2349.vhd
+run_non_compliant_test tc2350.vhd
+run_non_compliant_test tc2351.vhd
+run_non_compliant_test tc2352.vhd
+run_non_compliant_test tc2353.vhd
+run_non_compliant_test tc2354.vhd
+run_non_compliant_test tc2355.vhd
+run_non_compliant_test tc2356.vhd
+run_non_compliant_test tc2357.vhd
+run_non_compliant_test tc2358.vhd
+run_non_compliant_test tc2361.vhd
+run_non_compliant_test tc2362.vhd
+run_non_compliant_test tc2375.vhd
+run_non_compliant_test tc2376.vhd
+run_non_compliant_test tc2377.vhd
+run_non_compliant_test tc2379.vhd
+run_non_compliant_test tc2381.vhd
+run_non_compliant_test tc2385.vhd
+run_non_compliant_test tc2386.vhd
+run_non_compliant_test tc2393.vhd
+run_non_compliant_test tc2397.vhd
+run_non_compliant_test tc2398.vhd
+run_non_compliant_test tc2402.vhd
+run_non_compliant_test tc2405.vhd
+run_non_compliant_test tc2406.vhd
+run_non_compliant_test tc2407.vhd
+run_non_compliant_test tc2409.vhd
+run_non_compliant_test tc2410.vhd
+run_non_compliant_test tc2411.vhd
+run_non_compliant_test tc2413.vhd
+run_non_compliant_test tc2414.vhd
+run_non_compliant_test tc2416.vhd
+run_non_compliant_test tc2418.vhd
+run_non_compliant_test tc2419.vhd
+run_non_compliant_test tc2420.vhd
+run_non_compliant_test tc2422.vhd
+run_non_compliant_test tc2423.vhd
+run_non_compliant_test tc2424.vhd
+run_non_compliant_test tc2426.vhd
+run_non_compliant_test tc2427.vhd
+run_non_compliant_test tc2429.vhd
+run_non_compliant_test tc2431.vhd
+run_non_compliant_test tc2433.vhd
+run_non_compliant_test tc2434.vhd
+run_non_compliant_test tc2437.vhd
+run_non_compliant_test tc2438.vhd
+run_non_compliant_test tc2441.vhd
+run_non_compliant_test tc2442.vhd
+run_non_compliant_test tc2443.vhd
+run_non_compliant_test tc2444.vhd
+run_non_compliant_test tc2445.vhd
+run_non_compliant_test tc2447.vhd
+run_non_compliant_test tc2449.vhd
+run_non_compliant_test tc2450.vhd
+run_non_compliant_test tc2451.vhd
+run_non_compliant_test tc2468.vhd
+run_non_compliant_test tc2469.vhd
+run_non_compliant_test tc2470.vhd
+run_non_compliant_test tc2473.vhd
+run_non_compliant_test tc2476.vhd
+run_non_compliant_test tc2482.vhd
+run_non_compliant_test tc2488.vhd
+run_non_compliant_test tc2489.vhd
+run_non_compliant_test tc2490.vhd
+run_non_compliant_test tc2491.vhd
+run_non_compliant_test tc2492.vhd
+run_non_compliant_test tc2493.vhd
+run_non_compliant_test tc2497.vhd
+run_non_compliant_test tc2498.vhd
+run_non_compliant_test tc2503.vhd
+run_non_compliant_test tc2504.vhd
+run_non_compliant_test tc2505.vhd
+run_non_compliant_test tc2507.vhd
+run_non_compliant_test tc2508.vhd
+run_non_compliant_test tc2509.vhd
+run_non_compliant_test tc2510.vhd
+run_non_compliant_test tc2512.vhd
+run_non_compliant_test tc2514.vhd
+run_non_compliant_test tc2516.vhd
+run_non_compliant_test tc2517.vhd
+run_non_compliant_test tc2518.vhd
+run_non_compliant_test tc2521.vhd
+run_non_compliant_test tc2523.vhd
+run_non_compliant_test tc2526.vhd
+run_non_compliant_test tc2534.vhd
+run_non_compliant_test tc2536.vhd
+run_non_compliant_test tc2537.vhd
+run_non_compliant_test tc2538.vhd
+run_non_compliant_test tc2539.vhd
+run_non_compliant_test tc2540.vhd
+run_non_compliant_test tc2541.vhd
+run_non_compliant_test tc2542.vhd
+run_non_compliant_test tc2543.vhd
+run_non_compliant_test tc2544.vhd
+run_non_compliant_test tc2545.vhd
+run_non_compliant_test tc2548.vhd
+run_non_compliant_test tc2549.vhd
+run_non_compliant_test tc2552.vhd
+run_non_compliant_test tc2553.vhd
+run_non_compliant_test tc2554.vhd
+run_non_compliant_test tc2555.vhd
+run_non_compliant_test tc2556.vhd
+run_non_compliant_test tc2557.vhd
+run_non_compliant_test tc2560.vhd
+run_non_compliant_test tc2561.vhd
+run_non_compliant_test tc2571.vhd
+run_non_compliant_test tc2572.vhd
+run_non_compliant_test tc2574.vhd
+run_non_compliant_test tc2576.vhd
+run_non_compliant_test tc2577.vhd
+run_non_compliant_test tc2579.vhd
+run_non_compliant_test tc2580.vhd
+run_non_compliant_test tc2581.vhd
+run_non_compliant_test tc2582.vhd
+run_non_compliant_test tc2583.vhd
+run_non_compliant_test tc2584.vhd
+run_non_compliant_test tc2585.vhd
+run_non_compliant_test tc2586.vhd
+run_non_compliant_test tc2587.vhd
+run_non_compliant_test tc2588.vhd
+run_non_compliant_test tc2589.vhd
+run_non_compliant_test tc2590.vhd
+run_non_compliant_test tc2591.vhd
+run_non_compliant_test tc2592.vhd
+run_non_compliant_test tc2593.vhd
+run_non_compliant_test tc2594.vhd
+run_non_compliant_test tc2595.vhd
+run_non_compliant_test tc2596.vhd
+run_non_compliant_test tc2597.vhd
+run_non_compliant_test tc2598.vhd
+run_non_compliant_test tc2599.vhd
+run_non_compliant_test tc2600.vhd
+run_non_compliant_test tc2601.vhd
+run_non_compliant_test tc2602.vhd
+run_non_compliant_test tc2603.vhd
+run_non_compliant_test tc2604.vhd
+run_non_compliant_test tc2605.vhd
+run_non_compliant_test tc2606.vhd
+run_non_compliant_test tc2607.vhd
+run_non_compliant_test tc2608.vhd
+run_non_compliant_test tc2609.vhd
+run_non_compliant_test tc2610.vhd
+run_non_compliant_test tc2611.vhd
+run_non_compliant_test tc2612.vhd
+run_non_compliant_test tc2613.vhd
+run_non_compliant_test tc2614.vhd
+run_non_compliant_test tc2615.vhd
+run_non_compliant_test tc2616.vhd
+run_non_compliant_test tc2617.vhd
+run_non_compliant_test tc2618.vhd
+run_non_compliant_test tc2619.vhd
+run_non_compliant_test tc2620.vhd
+run_non_compliant_test tc2621.vhd
+run_non_compliant_test tc2622.vhd
+run_non_compliant_test tc2623.vhd
+run_non_compliant_test tc2624.vhd
+run_non_compliant_test tc2625.vhd
+run_non_compliant_test tc2626.vhd
+run_non_compliant_test tc2627.vhd
+run_non_compliant_test tc2628.vhd
+run_non_compliant_test tc2629.vhd
+run_non_compliant_test tc2630.vhd
+run_non_compliant_test tc2631.vhd
+run_non_compliant_test tc2632.vhd
+run_non_compliant_test tc2633.vhd
+run_non_compliant_test tc2634.vhd
+run_non_compliant_test tc2635.vhd
+run_non_compliant_test tc2636.vhd
+run_non_compliant_test tc2637.vhd
+run_non_compliant_test tc2638.vhd
+run_non_compliant_test tc2639.vhd
+run_non_compliant_test tc2640.vhd
+run_non_compliant_test tc2641.vhd
+run_non_compliant_test tc2644.vhd
+run_non_compliant_test tc2645.vhd
+run_non_compliant_test tc2646.vhd
+run_non_compliant_test tc2647.vhd
+run_non_compliant_test tc2648.vhd
+run_non_compliant_test tc2649.vhd
+run_non_compliant_test tc2650.vhd
+run_non_compliant_test tc2651.vhd
+run_non_compliant_test tc2652.vhd
+run_non_compliant_test tc2653.vhd
+run_non_compliant_test tc2654.vhd
+run_non_compliant_test tc2655.vhd
+run_non_compliant_test tc2656.vhd
+run_non_compliant_test tc2657.vhd
+run_non_compliant_test tc2658.vhd
+run_non_compliant_test tc2659.vhd
+run_non_compliant_test tc2660.vhd
+run_non_compliant_test tc2661.vhd
+run_non_compliant_test tc2662.vhd
+run_non_compliant_test tc2663.vhd
+run_non_compliant_test tc2664.vhd
+run_non_compliant_test tc2665.vhd
+run_non_compliant_test tc2666.vhd
+run_non_compliant_test tc2667.vhd
+run_non_compliant_test tc2668.vhd
+run_non_compliant_test tc2669.vhd
+run_non_compliant_test tc2670.vhd
+run_non_compliant_test tc2671.vhd
+run_non_compliant_test tc2672.vhd
+run_non_compliant_test tc2673.vhd
+run_non_compliant_test tc2674.vhd
+run_non_compliant_test tc2678.vhd
+run_non_compliant_test tc2680.vhd
+run_non_compliant_test tc2681.vhd
+run_non_compliant_test tc2682.vhd
+run_non_compliant_test tc2683.vhd
+run_non_compliant_test tc2684.vhd
+run_non_compliant_test tc2685.vhd
+run_non_compliant_test tc2686.vhd
+run_non_compliant_test tc2687.vhd
+run_non_compliant_test tc2688.vhd
+run_non_compliant_test tc2689.vhd
+run_non_compliant_test tc2691.vhd
+run_non_compliant_test tc2692.vhd
+run_non_compliant_test tc2693.vhd
+run_non_compliant_test tc2694.vhd
+run_non_compliant_test tc2695.vhd
+run_non_compliant_test tc2696.vhd
+run_non_compliant_test tc2706.vhd
+run_non_compliant_test tc2714.vhd
+run_non_compliant_test tc2715.vhd
+run_non_compliant_test tc2716.vhd
+run_non_compliant_test tc2717.vhd
+run_non_compliant_test tc2720.vhd
+run_non_compliant_test tc2721.vhd
+run_non_compliant_test tc2723.vhd
+run_non_compliant_test tc2727.vhd
+run_non_compliant_test tc2728.vhd
+run_non_compliant_test tc2729.vhd
+run_non_compliant_test tc2730.vhd
+run_non_compliant_test tc2731.vhd
+run_non_compliant_test tc2732.vhd
+run_non_compliant_test tc2741.vhd
+run_non_compliant_test tc2746.vhd
+run_non_compliant_test tc2748.vhd
+run_non_compliant_test tc2749.vhd
+run_non_compliant_test tc2750.vhd
+run_non_compliant_test tc2751.vhd
+run_non_compliant_test tc2752.vhd
+run_non_compliant_test tc2753.vhd
+run_non_compliant_test tc2754.vhd
+run_non_compliant_test tc2755.vhd
+run_non_compliant_test tc2756.vhd
+run_non_compliant_test tc2757.vhd
+run_non_compliant_test tc2762.vhd
+run_non_compliant_test tc2763.vhd
+run_non_compliant_test tc2764.vhd
+run_non_compliant_test tc2766.vhd
+run_non_compliant_test tc2770.vhd
+run_non_compliant_test tc2772.vhd
+run_non_compliant_test tc2773.vhd
+run_non_compliant_test tc2774.vhd
+run_non_compliant_test tc2775.vhd
+run_non_compliant_test tc2776.vhd
+run_non_compliant_test tc2777.vhd
+run_non_compliant_test tc2778.vhd
+run_non_compliant_test tc2779.vhd
+run_non_compliant_test tc2780.vhd
+run_non_compliant_test tc2781.vhd
+run_non_compliant_test tc2782.vhd
+run_non_compliant_test tc2783.vhd
+run_non_compliant_test tc2784.vhd
+run_non_compliant_test tc2785.vhd
+run_non_compliant_test tc2786.vhd
+run_non_compliant_test tc2787.vhd
+run_non_compliant_test tc2788.vhd
+run_non_compliant_test tc2789.vhd
+run_non_compliant_test tc2790.vhd
+run_non_compliant_test tc2791.vhd
+run_non_compliant_test tc2792.vhd
+run_non_compliant_test tc2793.vhd
+run_non_compliant_test tc2794.vhd
+run_non_compliant_test tc2795.vhd
+run_non_compliant_test tc2796.vhd
+run_non_compliant_test tc2797.vhd
+run_non_compliant_test tc2798.vhd
+run_non_compliant_test tc2799.vhd
+run_non_compliant_test tc2800.vhd
+run_non_compliant_test tc2801.vhd
+run_non_compliant_test tc2802.vhd
+run_non_compliant_test tc2803.vhd
+run_non_compliant_test tc2804.vhd
+run_non_compliant_test tc2805.vhd
+run_non_compliant_test tc2806.vhd
+run_non_compliant_test tc2807.vhd
+run_non_compliant_test tc2808.vhd
+run_non_compliant_test tc2809.vhd
+run_non_compliant_test tc2810.vhd
+run_non_compliant_test tc2811.vhd
+run_non_compliant_test tc2812.vhd
+run_non_compliant_test tc2813.vhd
+run_non_compliant_test tc2814.vhd
+run_non_compliant_test tc2815.vhd
+run_non_compliant_test tc2816.vhd
+run_non_compliant_test tc2817.vhd
+run_non_compliant_test tc2818.vhd
+run_non_compliant_test tc2819.vhd
+run_non_compliant_test tc2820.vhd
+run_non_compliant_test tc2821.vhd
+run_non_compliant_test tc2822.vhd
+run_non_compliant_test tc2823.vhd
+run_non_compliant_test tc2824.vhd
+run_non_compliant_test tc2825.vhd
+run_non_compliant_test tc2826.vhd
+run_non_compliant_test tc2827.vhd
+run_non_compliant_test tc2828.vhd
+run_non_compliant_test tc2829.vhd
+run_non_compliant_test tc2830.vhd
+run_non_compliant_test tc2831.vhd
+run_non_compliant_test tc2832.vhd
+run_non_compliant_test tc2833.vhd
+run_non_compliant_test tc2834.vhd
+run_non_compliant_test tc2835.vhd
+run_non_compliant_test tc2836.vhd
+run_non_compliant_test tc2837.vhd
+run_non_compliant_test tc2838.vhd
+run_non_compliant_test tc2839.vhd
+run_non_compliant_test tc2840.vhd
+run_non_compliant_test tc2841.vhd
+run_non_compliant_test tc2842.vhd
+run_non_compliant_test tc2843.vhd
+run_non_compliant_test tc2844.vhd
+run_non_compliant_test tc2845.vhd
+run_non_compliant_test tc2846.vhd
+run_non_compliant_test tc2847.vhd
+run_non_compliant_test tc2848.vhd
+run_non_compliant_test tc2849.vhd
+run_non_compliant_test tc2850.vhd
+run_non_compliant_test tc2851.vhd
+run_non_compliant_test tc2852.vhd
+run_non_compliant_test tc2855.vhd
+run_non_compliant_test tc2856.vhd
+run_non_compliant_test tc2857.vhd
+run_non_compliant_test tc2858.vhd
+run_non_compliant_test tc2859.vhd
+run_non_compliant_test tc2867.vhd
+run_non_compliant_test tc2869.vhd
+run_non_compliant_test tc2871.vhd
+run_non_compliant_test tc2872.vhd
+run_non_compliant_test tc2873.vhd
+run_non_compliant_test tc2875.vhd
+run_non_compliant_test tc2877.vhd
+run_non_compliant_test tc2878.vhd
+run_non_compliant_test tc2884.vhd
+run_non_compliant_test tc2885.vhd
+run_non_compliant_test tc2886.vhd
+run_non_compliant_test tc2887.vhd
+run_non_compliant_test tc2888.vhd
+run_non_compliant_test tc2889.vhd
+run_non_compliant_test tc2890.vhd
+run_non_compliant_test tc2891.vhd
+run_non_compliant_test tc2892.vhd
+run_non_compliant_test tc2893.vhd
+run_non_compliant_test tc2894.vhd
+run_non_compliant_test tc2895.vhd
+run_non_compliant_test tc2896.vhd
+run_non_compliant_test tc2897.vhd
+run_non_compliant_test tc2898.vhd
+run_non_compliant_test tc2899.vhd
+run_non_compliant_test tc2905.vhd
+run_non_compliant_test tc2906.vhd
+run_non_compliant_test tc2907.vhd
+run_non_compliant_test tc2908.vhd
+run_non_compliant_test tc2909.vhd
+run_non_compliant_test tc2910.vhd
+run_non_compliant_test tc2911.vhd
+run_non_compliant_test tc2912.vhd
+run_non_compliant_test tc2913.vhd
+run_non_compliant_test tc2914.vhd
+run_non_compliant_test tc2915.vhd
+run_non_compliant_test tc2916.vhd
+run_non_compliant_test tc2919.vhd
+run_non_compliant_test tc2920.vhd
+run_non_compliant_test tc2921.vhd
+run_non_compliant_test tc2922.vhd
+run_non_compliant_test tc2923.vhd
+run_non_compliant_test tc2924.vhd
+run_non_compliant_test tc2925.vhd
+run_non_compliant_test tc2926.vhd
+run_non_compliant_test tc2927.vhd
+run_non_compliant_test tc2928.vhd
+run_non_compliant_test tc2929.vhd
+run_non_compliant_test tc2930.vhd
+run_non_compliant_test tc2931.vhd
+run_non_compliant_test tc2933.vhd
+run_non_compliant_test tc2934.vhd
+run_non_compliant_test tc2935.vhd
+run_non_compliant_test tc2936.vhd
+run_non_compliant_test tc2937.vhd
+run_non_compliant_test tc2938.vhd
+run_non_compliant_test tc2939.vhd
+run_non_compliant_test tc2940.vhd
+run_non_compliant_test tc2941.vhd
+run_non_compliant_test tc2942.vhd
+run_non_compliant_test tc2943.vhd
+run_non_compliant_test tc2944.vhd
+run_non_compliant_test tc2946.vhd
+run_non_compliant_test tc2947.vhd
+run_non_compliant_test tc2953.vhd
+run_non_compliant_test tc2954.vhd
+run_non_compliant_test tc2956.vhd
+run_non_compliant_test tc2957.vhd
+run_non_compliant_test tc2958.vhd
+run_non_compliant_test tc2963.vhd
+run_non_compliant_test tc2965.vhd
+run_non_compliant_test tc2970.vhd
+run_non_compliant_test tc2971.vhd
+run_non_compliant_test tc2983.vhd
+run_non_compliant_test tc2984.vhd
+run_non_compliant_test tc2985.vhd
+run_non_compliant_test tc2986.vhd
+run_non_compliant_test tc2991.vhd
+run_non_compliant_test tc2992.vhd
+run_non_compliant_test tc2993.vhd
+run_non_compliant_test tc2994.vhd
+run_non_compliant_test tc2995.vhd
+run_non_compliant_test tc2996.vhd
+run_non_compliant_test tc2997.vhd
+run_non_compliant_test tc2998.vhd
+run_non_compliant_test tc2999.vhd
+run_non_compliant_test tc3000.vhd
+run_non_compliant_test tc3002.vhd
+run_non_compliant_test tc3003.vhd
+run_non_compliant_test tc3004.vhd
+run_non_compliant_test tc3006.vhd
+run_non_compliant_test tc3007.vhd
+run_non_compliant_test tc3008.vhd
+run_non_compliant_test tc3009.vhd
+run_non_compliant_test tc3011.vhd
+run_non_compliant_test tc3012.vhd
+run_non_compliant_test tc3013.vhd
+run_non_compliant_test tc3014.vhd
+run_non_compliant_test tc3015.vhd
+run_non_compliant_test tc3017.vhd
+run_non_compliant_test tc3019.vhd
+run_non_compliant_test tc3020.vhd
+run_non_compliant_test tc3021.vhd
+run_non_compliant_test tc3025.vhd
+run_non_compliant_test tc3026.vhd
+run_non_compliant_test tc3027.vhd
+run_non_compliant_test tc3028.vhd
+run_non_compliant_test tc3030.vhd
+run_non_compliant_test tc3031.vhd
+run_non_compliant_test tc3058.vhd
+run_non_compliant_test tc3064.vhd
+run_non_compliant_test tc3087.vhd
+run_non_compliant_test tc3088.vhd
+run_non_compliant_test tc3089.vhd
+run_non_compliant_test tc3091.vhd
+run_non_compliant_test tc3092.vhd
+run_non_compliant_test tc3093.vhd
+run_non_compliant_test tc3094.vhd
+run_non_compliant_test tc3095.vhd
+run_non_compliant_test tc3096.vhd
+run_non_compliant_test tc3097.vhd
+run_non_compliant_test tc3098.vhd
+run_non_compliant_test tc3103.vhd
+run_non_compliant_test tc3104.vhd
+run_non_compliant_test tc3105.vhd
+run_non_compliant_test tc3106.vhd
+run_non_compliant_test tc3107.vhd
+run_non_compliant_test tc3108.vhd
+run_non_compliant_test tc3161.vhd
+run_non_compliant_test tc3207.vhd
+
+end_test_group
+
+# $Log: non_compliant.exp,v $
+# Revision 1.2 2001-10-19 23:29:32 paw
+# Adding comments for cvs tracking information.
+#
+# Revision 1.1 2001/10/15 16:00:50 paw
+# Updating the compliant.exp script to properly use the functions in the new
+# savant test harness.
+#
+# Adding the scripts for non_compliant testing in the billowitch suite.
+#
+# When properly placed in the testsuite subdirectory of savant, a make check
+# will work. Documentation will be added to the testsuite to describe how.
+#
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1000.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1000.vhd
new file mode 100644
index 000000000..a337b72ce
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1000.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1000.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01000pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01000pkg;
+
+use work.c06s03b00x00p09n01i01000pkg.all;
+ENTITY c06s03b00x00p09n01i01000ent IS
+END c06s03b00x00p09n01i01000ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01000arch OF c06s03b00x00p09n01i01000ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST4 is c06s03b00x00p09n01i01000ent.c06s03b00x00p09n01i01000pkg.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01000 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01000arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1001.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1001.vhd
new file mode 100644
index 000000000..210cb02e0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1001.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1001.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01001pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01001pkg;
+
+use work.c06s03b00x00p09n01i01001pkg.all;
+ENTITY c06s03b00x00p09n01i01001ent IS
+END c06s03b00x00p09n01i01001ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01001arch OF c06s03b00x00p09n01i01001ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST6 is c06s03b00x00p09n01i01001pkg.UNKNOWN;
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01001 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01001arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1002.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1002.vhd
new file mode 100644
index 000000000..1386af388
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1002.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1002.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01002pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01002pkg;
+
+use work.c06s03b00x00p09n01i01002pkg.all;
+ENTITY c06s03b00x00p09n01i01002ent IS
+END c06s03b00x00p09n01i01002ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01002arch OF c06s03b00x00p09n01i01002ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST7 is Q.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01002 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01002arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1003.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1003.vhd
new file mode 100644
index 000000000..922f9ef9c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1003.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1003.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01003pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01003pkg;
+
+use work.c06s03b00x00p09n01i01003pkg.all;
+ENTITY c06s03b00x00p09n01i01003ent IS
+END c06s03b00x00p09n01i01003ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01003arch OF c06s03b00x00p09n01i01003ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST8 is E.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01003 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01003arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1004.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1004.vhd
new file mode 100644
index 000000000..bbd0dc866
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1004.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1004.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01004pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01004pkg;
+
+use work.c06s03b00x00p09n01i01004pkg.all;
+ENTITY c06s03b00x00p09n01i01004ent IS
+END c06s03b00x00p09n01i01004ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01004arch OF c06s03b00x00p09n01i01004ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST8 is E.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01004 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01004arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1005.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1005.vhd
new file mode 100644
index 000000000..4e05208c2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1005.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1005.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01005pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01005pkg;
+
+use work.c06s03b00x00p09n01i01005pkg.all;
+ENTITY c06s03b00x00p09n01i01005ent IS
+END c06s03b00x00p09n01i01005ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01005arch OF c06s03b00x00p09n01i01005ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST10 is E.c06s03b00x00p09n01i01005pkg.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01005 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01005arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1006.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1006.vhd
new file mode 100644
index 000000000..e4464f5be
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1006.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1006.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01006pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01006pkg;
+
+use work.c06s03b00x00p09n01i01006pkg.all;
+ENTITY c06s03b00x00p09n01i01006ent IS
+END c06s03b00x00p09n01i01006ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01006arch OF c06s03b00x00p09n01i01006ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST12 is E.c06s03b00x00p09n01i01006ent.FOUR (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01006 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01006arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1007.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1007.vhd
new file mode 100644
index 000000000..7c586ec77
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1007.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1007.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01007pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01007pkg;
+
+use work.c06s03b00x00p09n01i01007pkg.all;
+ENTITY c06s03b00x00p09n01i01007ent IS
+END c06s03b00x00p09n01i01007ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01007arch OF c06s03b00x00p09n01i01007ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST13 is c06s03b00x00p09n01i01007ent.E.FOUR (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01007 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01007arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1008.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1008.vhd
new file mode 100644
index 000000000..7a86128be
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1008.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1008.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i01008pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i01008pkg;
+
+use work.ch0603_p00901_12_pkg.all;
+ENTITY c06s03b00x00p09n01i01008ent IS
+END c06s03b00x00p09n01i01008ent;
+
+ARCHITECTURE c06s03b00x00p09n01i01008arch OF c06s03b00x00p09n01i01008ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST14 is c06s03b00x00p09n01i01008pkg.NOTKNOWN;
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i01008 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i01008arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc101.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc101.vhd
new file mode 100644
index 000000000..4dba7face
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc101.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc101.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00101ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00101ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00101arch OF c04s03b02x00p29n06i00101ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant T : TIME := 10 ns;
+ BEGIN
+ if S'STABLE(T) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00101 - The attribute STABLE of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00101arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1014.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1014.vhd
new file mode 100644
index 000000000..aa345b38e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1014.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1014.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n01i01014ent IS
+END c06s03b00x00p10n01i01014ent;
+
+ARCHITECTURE c06s03b00x00p10n01i01014arch OF c06s03b00x00p10n01i01014ent IS
+ signal p : bit := '0';
+ signal q : bit := '1';
+BEGIN
+ TESTING: PROCESS(c06s03b00x00p10n01i01014arch.p,c06s03b00x00p10n01i01014ent.q)
+ BEGIN
+ c06s03b00x00p10n01i01014ent.q <= c06s03b00x00p10n01i01014arch.p;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n01i01014 - Declaration of suffix must occur within the construct denoted by the prefix."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c06s03b00x00p10n01i01014arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1015.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1015.vhd
new file mode 100644
index 000000000..6b5c4d939
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1015.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1015.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n01i01015ent IS
+ port (p : in bit);
+END c06s03b00x00p10n01i01015ent;
+
+ARCHITECTURE c06s03b00x00p10n01i01015arch OF c06s03b00x00p10n01i01015ent IS
+ signal G : integer;
+BEGIN
+ C:block
+ begin
+ TESTING: PROCESS
+ variable F : integer;
+ BEGIN
+ F := F + D.G; -- ERROR: prefix must denote a block statement,
+ -- a process statement, or a loop statement.
+ null ;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n01i01015 - Prefix must denote a block, process or loop statement."
+ severity NOTE;
+ END PROCESS TESTING;
+ end block C;
+
+END c06s03b00x00p10n01i01015_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1016.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1016.vhd
new file mode 100644
index 000000000..5ff30fe0b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1016.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1016.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n01i01016ent IS
+ port (p : in bit);
+END c06s03b00x00p10n01i01016ent;
+
+ARCHITECTURE c06s03b00x00p10n01i01016arch OF c06s03b00x00p10n01i01016ent IS
+ signal G : integer;
+BEGIN
+ TESTING: PROCESS
+ variable F : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n01i01016 - Prefix must denote a block, process or loop statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ H: if TRUE generate
+ G <= G + H.F; -- ERROR: declaration of suffix should be
+ -- within the construct denoted by the prefix.
+ end generate H;
+
+END c06s03b00x00p10n01i01016arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1017.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1017.vhd
new file mode 100644
index 000000000..56ba3b2f7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1017.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1017.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n01i01017ent IS
+ port (p : in bit);
+END c06s03b00x00p10n01i01017ent;
+
+ARCHITECTURE c06s03b00x00p10n01i01017arch OF c06s03b00x00p10n01i01017ent IS
+
+BEGIN
+ b1 : block
+ type chars is ('a','b','c');
+ signal bs1 : BIT;
+ begin
+ B2: block
+ type chars is ('c','d','e');
+ signal bs2 : BIT;
+ begin
+ process
+ variable c : b1.chars;
+ variable d : b2.chars;
+ begin
+ d := b2.'a';
+ -- ERROR: Literal defined by selected
+ -- suffix not declared within construct
+ -- denoted by selected prefix.
+ end process;
+ end block B2;
+ end block b1;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n01i01017 - Entity declaration does not occur in construct specifed by the prefix."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p10n01i01017arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1018.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1018.vhd
new file mode 100644
index 000000000..9fecabf5d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1018.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1018.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n01i01018ent IS
+ port (p : in bit);
+END c06s03b00x00p10n01i01018ent;
+
+ARCHITECTURE c06s03b00x00p10n01i01018arch OF c06s03b00x00p10n01i01018ent IS
+
+BEGIN
+ b1 : block
+ type chars is ('a','b','c');
+ signal bs1 : BIT;
+ begin
+ B2: block
+ signal bs2 : BIT;
+ begin
+ process
+ begin
+ NULL;
+ end process;
+ b3 : block
+ signal bs3a : BIT;
+ signal bs3b : BIT;
+ begin
+ bs3b <= B1.bs2;
+ -- ERROR: Entity defined by selected
+ -- suffix not declared within construct
+ -- denoted by selected prefix.
+ end block b3;
+ end block B2;
+ end block b1;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n01i01018 - Entity declaration does not occur in construct specifed by the prefix."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p10n01i01018arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc102.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc102.vhd
new file mode 100644
index 000000000..f31af87b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc102.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc102.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00102ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00102ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00102arch OF c04s03b02x00p29n06i00102ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant T : TIME := 10 ns;
+ BEGIN
+ if S'QUIET(T) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00102- The attribute QUIET of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00102arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1022.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1022.vhd
new file mode 100644
index 000000000..15d39db57
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1022.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1022.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p10n02i01022pkg is
+ procedure check (x: in integer; y: in boolean);
+end c06s03b00x00p10n02i01022pkg;
+
+use work.c06s03b00x00p10n02i01022pkg.all;
+ENTITY c06s03b00x00p10n02i01022ent IS
+END c06s03b00x00p10n02i01022ent;
+
+ARCHITECTURE c06s03b00x00p10n02i01022arch OF c06s03b00x00p10n02i01022ent IS
+ constant p: integer := 3;
+ constant q: boolean := true;
+BEGIN
+ TESTING: PROCESS
+ variable p: integer;
+ variable q: boolean;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n02i01022 - An expanded name is used outside the named construct."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+ check (TESTING.p, TESTING.q); -- Failure_here
+
+END c06s03b00x00p10n02i01022arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1023.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1023.vhd
new file mode 100644
index 000000000..1b83b19ac
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1023.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1023.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n02i01023ent IS
+END c06s03b00x00p10n02i01023ent;
+
+ARCHITECTURE c06s03b00x00p10n02i01023arch OF c06s03b00x00p10n02i01023ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable j : integer;
+ BEGIN
+ L1: for i in 1 to 10 loop
+ e.j := L1.i;
+ end loop;
+ j := L1.i; -- illegal as reference to L1.i is allowed within the
+ -- loop L1 only.
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n02i01023 - An expanded name denoting an entity declared within a named construct is allowed only within the construct."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p10n02i01023arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1025.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1025.vhd
new file mode 100644
index 000000000..5fb5c2e91
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1025.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1025.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p10n02i01025ent IS
+END c06s03b00x00p10n02i01025ent;
+
+ARCHITECTURE c06s03b00x00p10n02i01025arch OF c06s03b00x00p10n02i01025ent IS
+
+BEGIN
+ B1 : block
+ constant C : integer := 10;
+ begin
+ B2 : block
+ constant C : integer := B1.c; -- Ok
+ begin
+ process
+ begin
+ null;
+ end process;
+ end block;
+ B3 : block
+ constant C : integer := B1.c; -- Ok
+ constant Bad_C : integer := B2.c;
+ -- Error : Initialization Expression is not visible.
+ begin
+ process
+ begin
+ null;
+ end process;
+ end block;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p10n02i01025 - Expanded name visible only in the construct."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p10n02i01025arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc103.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc103.vhd
new file mode 100644
index 000000000..ef9006694
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc103.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc103.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00103ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00103ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00103arch OF c04s03b02x00p29n06i00103ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant T : TIME := 10 ns;
+ BEGIN
+ if (S'DELAYED(T)='1') then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00103- The attribute DELAYED of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00103arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1036.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1036.vhd
new file mode 100644
index 000000000..e35c0082b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1036.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1036.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p02n01i01036ent IS
+END c06s04b00x00p02n01i01036ent;
+
+ARCHITECTURE c06s04b00x00p02n01i01036arch OF c06s04b00x00p02n01i01036ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T2 is array (0 to 31) of BIT;
+ variable V1 : T2 ;
+ BEGIN
+ V1(2 := '1' ; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p02n01i01036 - Missing parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c06s04b00x00p02n01i01036arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc104.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc104.vhd
new file mode 100644
index 000000000..ce4160b7f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc104.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc104.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00104ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00104ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00104arch OF c04s03b02x00p29n06i00104ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (S'EVENT) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00104 - The attribute EVENT of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00104arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1041.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1041.vhd
new file mode 100644
index 000000000..1d93af7fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1041.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1041.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01041ent IS
+END c06s04b00x00p03n01i01041ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01041arch OF c06s04b00x00p03n01i01041ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ if k(1) = 1 then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01041 - Prefix of an indexed name can only denote an array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01041arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1042.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1042.vhd
new file mode 100644
index 000000000..c7cc02f30
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1042.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1042.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01042ent IS
+END c06s04b00x00p03n01i01042ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01042arch OF c06s04b00x00p03n01i01042ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (1=>TRUE, 2=>TRUE, 3=>TRUE)(2);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01042 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01042arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1043.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1043.vhd
new file mode 100644
index 000000000..62197b680
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1043.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1043.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01043ent IS
+END c06s04b00x00p03n01i01043ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01043arch OF c06s04b00x00p03n01i01043ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (others=>TRUE)(2);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01043 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01043arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1044.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1044.vhd
new file mode 100644
index 000000000..ff9306b2d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1044.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1044.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01044ent IS
+END c06s04b00x00p03n01i01044ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01044arch OF c06s04b00x00p03n01i01044ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := A1'(1=>TRUE, 2=>TRUE, 3=>TRUE)(2);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01044 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01044arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1045.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1045.vhd
new file mode 100644
index 000000000..88c72cd46
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1045.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1045.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01045ent IS
+END c06s04b00x00p03n01i01045ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01045arch OF c06s04b00x00p03n01i01045ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := A1'(others=>TRUE)(2);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01045 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01045arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1046.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1046.vhd
new file mode 100644
index 000000000..1174e69b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1046.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1046.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01046ent IS
+END c06s04b00x00p03n01i01046ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01046arch OF c06s04b00x00p03n01i01046ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := A1'(others=>TRUE)(2);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01046 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01046arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1047.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1047.vhd
new file mode 100644
index 000000000..db4734716
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1047.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1047.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01047ent IS
+END c06s04b00x00p03n01i01047ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01047arch OF c06s04b00x00p03n01i01047ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (others=>TRUE)(1);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01047 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01047arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1048.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1048.vhd
new file mode 100644
index 000000000..4ad980d47
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1048.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1048.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01048ent IS
+END c06s04b00x00p03n01i01048ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01048arch OF c06s04b00x00p03n01i01048ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := A2'(1=>TRUE)(1);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01048 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01048arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1049.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1049.vhd
new file mode 100644
index 000000000..8fdf1ac71
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1049.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1049.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n01i01049ent IS
+END c06s04b00x00p03n01i01049ent;
+
+ARCHITECTURE c06s04b00x00p03n01i01049arch OF c06s04b00x00p03n01i01049ent IS
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A1 is array (THREE) of BOOLEAN;
+ type ONE is range 1 to 1;
+ type A2 is array (ONE) of BOOLEAN;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := A2'(others=>TRUE)(1);
+ -- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n01i01049 - Prefix of an indexed name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n01i01049arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc105.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc105.vhd
new file mode 100644
index 000000000..a5c6bf536
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc105.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc105.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00105ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00105ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00105arch OF c04s03b02x00p29n06i00105ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (S'ACTIVE) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00105 - The attribute ACTIVE of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00105arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1051.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1051.vhd
new file mode 100644
index 000000000..b8894ed36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1051.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1051.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01051ent IS
+END c06s04b00x00p03n02i01051ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01051arch OF c06s04b00x00p03n02i01051ent IS
+ type arrtype is array (1 to 10, 1 to 2) of real;
+BEGIN
+ TESTING: PROCESS
+ variable k : arrtype ;
+ BEGIN
+ str (1 + 2) := 1.2; -- expression for second index position is
+ -- missing.
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01051 - There should exist an expresion for each index position of the array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01051arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1052.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1052.vhd
new file mode 100644
index 000000000..eaa57162f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1052.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1052.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01052ent IS
+END c06s04b00x00p03n02i01052ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01052arch OF c06s04b00x00p03n02i01052ent IS
+ type arrtype is array (positive range 1 to 10, bit range '0' to '1') of real;
+BEGIN
+ TESTING: PROCESS
+ variable k : arrtype ;
+ BEGIN
+ str (1 + 2, 0 + 2) := 1.2; -- illegal.
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01052 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01052arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1053.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1053.vhd
new file mode 100644
index 000000000..50493387a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1053.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1053.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01053ent IS
+END c06s04b00x00p03n02i01053ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01053arch OF c06s04b00x00p03n02i01053ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+
+ type A11 is array (THREE) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+ variable V11: A11 ;
+ BEGIN
+ V1 := V11(1, 2); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01053 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01053arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1054.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1054.vhd
new file mode 100644
index 000000000..0af280f65
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1054.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1054.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01054ent IS
+END c06s04b00x00p03n02i01054ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01054arch OF c06s04b00x00p03n02i01054ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A12 is array (ENUM1) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+ variable V12: A12 ;
+ BEGIN
+ V1 := V12(EN3, EN2); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01054 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01054arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1055.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1055.vhd
new file mode 100644
index 000000000..8f4392b87
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1055.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1055.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01055ent IS
+END c06s04b00x00p03n02i01055ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01055arch OF c06s04b00x00p03n02i01055ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A21 is array (THREE, THREE) of BOOLEAN;
+
+ variable V1 : BOOLEAN;
+ variable V21: A21 ;
+ BEGIN
+ V1 := V21(2); -- ONE LESS
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01055 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01055arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1056.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1056.vhd
new file mode 100644
index 000000000..db64c553e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1056.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1056.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01056ent IS
+END c06s04b00x00p03n02i01056ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01056arch OF c06s04b00x00p03n02i01056ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (EN1, EN2, EN3);
+ type A22 is array (ENUM1, ENUM1) of BOOLEAN;
+
+ variable V1 : BOOLEAN;
+ variable V22: A22 ;
+ BEGIN
+ V1 := V22(EN2); -- ONE LESS
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01056 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01056arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1057.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1057.vhd
new file mode 100644
index 000000000..be57b5c8f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1057.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1057.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01057ent IS
+END c06s04b00x00p03n02i01057ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01057arch OF c06s04b00x00p03n02i01057ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type A21 is array (THREE, THREE) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+
+ variable V21: A21 ;
+ BEGIN
+ V1 := V21(3, 2, 1); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01057 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01057arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1058.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1058.vhd
new file mode 100644
index 000000000..622d07a92
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1058.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1058.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01058ent IS
+END c06s04b00x00p03n02i01058ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01058arch OF c06s04b00x00p03n02i01058ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A22 is array (ENUM1, ENUM1) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+
+ variable V22: A22 ;
+ BEGIN
+ V1 := V22(EN1, EN2, EN3); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01058 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01058arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1059.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1059.vhd
new file mode 100644
index 000000000..6297e43c6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1059.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1059.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01059ent IS
+END c06s04b00x00p03n02i01059ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01059arch OF c06s04b00x00p03n02i01059ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+ type A22 is array (ENUM1, ENUM1) of BOOLEAN;
+ type A31 is array (THREE) of A22;
+
+ variable V1 : BOOLEAN;
+ variable V31: A31 ;
+ BEGIN
+ V1 := V31(2)(EN2); -- ONE LESS
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01059 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01059arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc106.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc106.vhd
new file mode 100644
index 000000000..fbe1529e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc106.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc106.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00106ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00106ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00106arch OF c04s03b02x00p29n06i00106ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable T : TIME := 10 ns;
+ BEGIN
+ if (S'LAST_EVENT = T) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00106 - The attribute LAST_EVENT of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00106arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1060.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1060.vhd
new file mode 100644
index 000000000..e3a6ce2be
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1060.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1060.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01060ent IS
+END c06s04b00x00p03n02i01060ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01060arch OF c06s04b00x00p03n02i01060ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A22 is array (ENUM1, ENUM1) of BOOLEAN;
+ type A31 is array (THREE) of A22;
+
+ variable V1: BOOLEAN;
+ variable V31: A31 ;
+ BEGIN
+ V1 := V31(2)(EN3, EN2, EN1); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01060 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01060arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1061.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1061.vhd
new file mode 100644
index 000000000..30e716019
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1061.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1061.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01061ent IS
+END c06s04b00x00p03n02i01061ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01061arch OF c06s04b00x00p03n02i01061ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A11 is array (THREE) of BOOLEAN;
+ type A32 is array (ENUM1, ENUM1) of A11;
+
+ variable V1 : BOOLEAN;
+ variable V32: A32 ;
+ BEGIN
+ V1 := V32(EN2)(2); -- ONE LESS
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01061 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01061arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1062.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1062.vhd
new file mode 100644
index 000000000..fb2e51584
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1062.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1062.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01062ent IS
+END c06s04b00x00p03n02i01062ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01062arch OF c06s04b00x00p03n02i01062ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A11 is array (THREE) of BOOLEAN;
+ type A32 is array (ENUM1, ENUM1) of A11;
+
+ variable V1 : BOOLEAN;
+ variable V32: A32 ;
+ BEGIN
+ V1 := V32(EN1, EN2, EN3)(2); -- ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01062 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01062arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1063.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1063.vhd
new file mode 100644
index 000000000..9a0003a18
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1063.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1063.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01063ent IS
+END c06s04b00x00p03n02i01063ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01063arch OF c06s04b00x00p03n02i01063ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A22 is array (ENUM1, ENUM1) of BOOLEAN;
+ type A31 is array (THREE) of A22;
+
+ variable V1: BOOLEAN;
+ variable V31: A31 ;
+ BEGIN
+ V1 := V31(1, EN2)(EN3); -- ONE MORE AND ONE LESS
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01063 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01063arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1064.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1064.vhd
new file mode 100644
index 000000000..8f6b9719f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1064.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1064.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01064ent IS
+END c06s04b00x00p03n02i01064ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01064arch OF c06s04b00x00p03n02i01064ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+ type ENUM1 is (EN1, EN2, EN3);
+
+ type A11 is array (THREE) of BOOLEAN;
+ type A32 is array (ENUM1, ENUM1) of A11;
+
+ variable V1 : BOOLEAN;
+ variable V32: A32 ;
+ BEGIN
+ V1 := V32(EN3)(EN2, 1); -- ONE LESS AND ONE MORE
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01064 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01064arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1065.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1065.vhd
new file mode 100644
index 000000000..ec76bc731
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1065.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1065.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01065ent IS
+END c06s04b00x00p03n02i01065ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01065arch OF c06s04b00x00p03n02i01065ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+
+ type A21 is array (THREE, THREE) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+ variable V21: A21 ;
+ BEGIN
+ V1 := V21(2)(2); -- WRONG DIMENSIONALITY
+ -- SEMANTIC ERROR: ACTUAL INDEX POSITIONS DO NOT CORRESPOND TO
+ -- INDEX POSITIONS IN TYPE DECLARATION
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01065 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01065arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1066.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1066.vhd
new file mode 100644
index 000000000..52e4738e2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1066.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1066.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n02i01066ent IS
+END c06s04b00x00p03n02i01066ent;
+
+ARCHITECTURE c06s04b00x00p03n02i01066arch OF c06s04b00x00p03n02i01066ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type THREE is range 1 to 3;
+
+ type A11 is array (THREE) of BOOLEAN;
+
+ variable V1: BOOLEAN;
+ variable V11: A11 ;
+ BEGIN
+ V1 := V11; -- DEGENERATE CASE OF ONE LESS;
+ -- SEMANTIC ERROR: TYPE INCOMPATIBILITY IN ASSIGNMENT
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n02i01066 - The expresion should be the same type as the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n02i01066arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1068.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1068.vhd
new file mode 100644
index 000000000..6fcc4ae36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1068.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1068.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s04b00x00p03n04i01068ent IS
+END c06s04b00x00p03n04i01068ent;
+
+ARCHITECTURE c06s04b00x00p03n04i01068arch OF c06s04b00x00p03n04i01068ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable str : string(1 to 20) := "This is string check";
+ BEGIN
+ if str(21) = 'T' then -- illegal as 21 does not belong to the index
+ -- range of str.
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s04b00x00p03n04i01068 - Index value should belong to the range of the corresponding index range of the array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s04b00x00p03n04i01068arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc107.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc107.vhd
new file mode 100644
index 000000000..2bca9509c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc107.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc107.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00107ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00107ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00107arch OF c04s03b02x00p29n06i00107ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable T : TIME := 10 ns;
+ BEGIN
+ if (S'LAST_ACTIVE = T) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00107 - The attribute LAST_ACTIVE of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00107arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc108.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc108.vhd
new file mode 100644
index 000000000..2836c6e5f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc108.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc108.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00108ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00108ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00108arch OF c04s03b02x00p29n06i00108ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable T : TIME := 10 ns;
+ BEGIN
+ if (S'LAST_VALUE = bit'('1')) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00108 - The attribute LAST_VALUE of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00108arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1082.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1082.vhd
new file mode 100644
index 000000000..2ffdff383
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1082.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1082.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p02n01i01082ent IS
+END c06s05b00x00p02n01i01082ent;
+
+ARCHITECTURE c06s05b00x00p02n01i01082arch OF c06s05b00x00p02n01i01082ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A51 is array (FIVE) of BOOLEAN;
+ type A53 is array (FIVE) of A51;
+
+ variable V51: A51 ;
+ variable V53: A53 ;
+ BEGIN
+ V51(2 to 2, 3 to 3) := V51(2 to 2, 3 to 3);
+ -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p02n01i01082 - Slice name consists of a single discrete range enclosed within parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p02n01i01082arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1083.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1083.vhd
new file mode 100644
index 000000000..4b187ced4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1083.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1083.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p02n01i01083ent IS
+END c06s05b00x00p02n01i01083ent;
+
+ARCHITECTURE c06s05b00x00p02n01i01083arch OF c06s05b00x00p02n01i01083ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A51 is array (FIVE) of BOOLEAN;
+ type A53 is array (FIVE) of A51;
+
+ variable V51: A51 ;
+ variable V53: A53 ;
+ BEGIN
+ V53(2 to 3, 3 to 4) := V53(2 to 3, 3 to 4);
+ -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p02n01i01083 - Slice name consists of a single discrete range enclosed within parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p02n01i01083arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1084.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1084.vhd
new file mode 100644
index 000000000..9715f95f9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1084.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1084.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p02n01i01084ent IS
+END c06s05b00x00p02n01i01084ent;
+
+ARCHITECTURE c06s05b00x00p02n01i01084arch OF c06s05b00x00p02n01i01084ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A51 is array (FIVE) of BOOLEAN;
+ type A53 is array (FIVE) of A51;
+
+ variable V51: A51 ;
+ variable V53: A53 ;
+ BEGIN
+ V51(2 downto 1, 3 to 4) := V51(2 downto 1, 3 to 4);
+ -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p02n01i01084 - Slice name consists of a single discrete range enclosed within parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p02n01i01084arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1085.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1085.vhd
new file mode 100644
index 000000000..8068d827c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1085.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1085.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p02n01i01085ent IS
+END c06s05b00x00p02n01i01085ent;
+
+ARCHITECTURE c06s05b00x00p02n01i01085arch OF c06s05b00x00p02n01i01085ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A51 is array (FIVE) of BOOLEAN;
+ type A53 is array (FIVE) of A51;
+
+ variable V51: A51 ;
+ variable V53: A53 ;
+ BEGIN
+ V53(2 downto 1, 1 to 4) := V53(2 downto 1, 1 to 4);
+ -- SYNTAX ERROR: NO MULTIPLE DISCRETE RANGES IN SLICE NAMES
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p02n01i01085 - Slice name consists of a single discrete range enclosed within parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p02n01i01085arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc109.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc109.vhd
new file mode 100644
index 000000000..3fdb6b5ca
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc109.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc109.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00109ent IS
+ port ( signal S : out bit) ;
+END c04s03b02x00p29n06i00109ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00109arch OF c04s03b02x00p29n06i00109ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable T : TIME := 10 ns;
+ BEGIN
+ if (S'TRANSACTION = bit'('1')) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00109 - The attribute TRANSACTION of a signal of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00109arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1093.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1093.vhd
new file mode 100644
index 000000000..6414853be
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1093.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1093.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01093ent IS
+END c06s05b00x00p03n01i01093ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01093arch OF c06s05b00x00p03n01i01093ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BIT_VECTOR is range 1 to 10;
+ variable NUM1 : BIT_VECTOR;
+ BEGIN
+ NUM1(0 to 1) := 0; -- illegal.
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01093 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01093arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1094.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1094.vhd
new file mode 100644
index 000000000..bf9e0c2f9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1094.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1094.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01094ent IS
+END c06s05b00x00p03n01i01094ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01094arch OF c06s05b00x00p03n01i01094ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type sting is array (1 to 5, 1 to 5) of character;
+ variable str : sting;
+ BEGIN
+ str(1 to 3, 1 to 3) := str(3 to 5, 3 to 5); -- slice of a two
+ -- dimensional array is
+ -- illegal.
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01094 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01094arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1095.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1095.vhd
new file mode 100644
index 000000000..76cae58a0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1095.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1095.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01095ent IS
+END c06s05b00x00p03n01i01095ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01095arch OF c06s05b00x00p03n01i01095ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := (1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (3 to 3);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01095 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01095arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1096.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1096.vhd
new file mode 100644
index 000000000..78caebad4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1096.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1096.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01096ent IS
+END c06s05b00x00p03n01i01096ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01096arch OF c06s05b00x00p03n01i01096ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := A5'(1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (3 to 3);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01096 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01096arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1097.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1097.vhd
new file mode 100644
index 000000000..ed219d6c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1097.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1097.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01097ent IS
+END c06s05b00x00p03n01i01097ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01097arch OF c06s05b00x00p03n01i01097ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := (others=>TRUE)(3 to 3);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01097 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01097arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1098.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1098.vhd
new file mode 100644
index 000000000..bb769a8bb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1098.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1098.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01098ent IS
+END c06s05b00x00p03n01i01098ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01098arch OF c06s05b00x00p03n01i01098ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := A5'(others=>TRUE)(3 to 3);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01098 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01098arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1099.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1099.vhd
new file mode 100644
index 000000000..98e30451f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1099.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1099.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01099ent IS
+END c06s05b00x00p03n01i01099ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01099arch OF c06s05b00x00p03n01i01099ent IS
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := (1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (2 to 4);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01099 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01099arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc11.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc11.vhd
new file mode 100644
index 000000000..a3afb066e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc11.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc11.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p02n01i00011ent IS
+END c04s02b00x00p02n01i00011ent;
+
+ARCHITECTURE c04s02b00x00p02n01i00011arch OF c04s02b00x00p02n01i00011ent IS
+
+ -- Failure_here: Missing 'is':
+ subtype GROUND BIT range '0' to '0';
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s02b00x00p02n01i00011 - The reserved word is is misssing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p02n01i00011arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1100.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1100.vhd
new file mode 100644
index 000000000..6fd316a10
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1100.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1100.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01100ent IS
+END c06s05b00x00p03n01i01100ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01100arch OF c06s05b00x00p03n01i01100ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := A5'(1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (2 to 4);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01100 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01100arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1101.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1101.vhd
new file mode 100644
index 000000000..fb2e38dca
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1101.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1101.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01101ent IS
+END c06s05b00x00p03n01i01101ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01101arch OF c06s05b00x00p03n01i01101ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := (others=>TRUE) (2 to 4);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01101 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01101arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1102.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1102.vhd
new file mode 100644
index 000000000..48b3601ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1102.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1102.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01102ent IS
+END c06s05b00x00p03n01i01102ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01102arch OF c06s05b00x00p03n01i01102ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := A5'(others=>TRUE) (2 to 4);
+ -- PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01102 - Prefix of a slice must be appropraite for a one-dimensional array object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01102arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1105.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1105.vhd
new file mode 100644
index 000000000..4e3ef0892
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1105.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1105.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01105ent IS
+END c06s05b00x00p03n01i01105ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01105arch OF c06s05b00x00p03n01i01105ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := (1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (3 to 3);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01105 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01105arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1106.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1106.vhd
new file mode 100644
index 000000000..7b9afc3cf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1106.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1106.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01106ent IS
+END c06s05b00x00p03n01i01106ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01106arch OF c06s05b00x00p03n01i01106ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := A5'(1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (3 to 3);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01106 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01106arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1107.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1107.vhd
new file mode 100644
index 000000000..7b21517e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1107.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1107.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01107ent IS
+END c06s05b00x00p03n01i01107ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01107arch OF c06s05b00x00p03n01i01107ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := (others=>TRUE)(3 to 3);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01107 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01107arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1108.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1108.vhd
new file mode 100644
index 000000000..7633d9d0a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1108.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1108.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01108ent IS
+END c06s05b00x00p03n01i01108ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01108arch OF c06s05b00x00p03n01i01108ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V2 := A5'(others=>TRUE)(3 to 3);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01108 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01108arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1109.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1109.vhd
new file mode 100644
index 000000000..17b0c12c8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1109.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1109.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01109ent IS
+END c06s05b00x00p03n01i01109ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01109arch OF c06s05b00x00p03n01i01109ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := (1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (2 to 4);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01109 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01109arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1110.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1110.vhd
new file mode 100644
index 000000000..a889ec98b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1110.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1110.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01110ent IS
+END c06s05b00x00p03n01i01110ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01110arch OF c06s05b00x00p03n01i01110ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := A5'(1=>TRUE, 2=>TRUE, 3=>TRUE, 4=>TRUE, 5=>TRUE) (2 to 4);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01110 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01110arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1111.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1111.vhd
new file mode 100644
index 000000000..6fc9ca7bc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1111.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1111.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01111ent IS
+END c06s05b00x00p03n01i01111ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01111arch OF c06s05b00x00p03n01i01111ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := (others=>TRUE) (2 to 4);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01111 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01111arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1112.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1112.vhd
new file mode 100644
index 000000000..9b29e58fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1112.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1112.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01112ent IS
+END c06s05b00x00p03n01i01112ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01112arch OF c06s05b00x00p03n01i01112ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype FIVE is INTEGER range 1 to 5;
+ subtype THREE is INTEGER range 1 to 3;
+ subtype ONE is INTEGER range 1 to 1;
+ type A0 is array (INTEGER range <>) of BOOLEAN;
+ subtype A1 is A0 (FIVE);
+ subtype A2 is A0 (ONE);
+ subtype A3 is A0 (THREE);
+ subtype A5 is A0 (FIVE);
+ variable V2: A2;
+ variable V3: A3;
+ BEGIN
+ V3 := A5'(others=>TRUE) (2 to 4);
+ -- SYNTAX ERROR: PREFIX OF SLICE NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01112 - Prefix of a slice name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01112arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1113.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1113.vhd
new file mode 100644
index 000000000..f044b3996
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1113.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1113.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01113ent IS
+END c06s05b00x00p03n01i01113ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01113arch OF c06s05b00x00p03n01i01113ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A1B is array (FIVE range <>) of BOOLEAN;
+ subtype A1 is A1B(FIVE);
+ type A2B is array (FIVE range <>, FIVE range <>) of A1;
+ subtype A2 is A2B(FIVE, FIVE);
+
+ variable V1: A1;
+ variable V2: A2;
+ BEGIN
+ V1(5) := V1(3)(3 to 3); -- ERROR: prefix of a slice name
+ -- cannot be an array element unless
+ -- the array element is an one-dimensional
+ -- array
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01113 - Prefix of a slice number must be a one-dimensional array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01113arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1114.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1114.vhd
new file mode 100644
index 000000000..00e9714ff
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1114.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1114.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01114ent IS
+END c06s05b00x00p03n01i01114ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01114arch OF c06s05b00x00p03n01i01114ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A1B is array (FIVE range <>) of BOOLEAN;
+ subtype A1 is A1B(FIVE);
+ type A2B is array (FIVE range <>, FIVE range <>) of A1;
+ subtype A2 is A2B(FIVE, FIVE);
+
+ variable V1: A1;
+ variable V2: A2;
+ BEGIN
+ V1(2 to 4) := V2(1 to 3); -- ERROR: prefix of a slice name
+ -- cannot be a multi-dimensional
+ -- array object
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01114 - Prefix of a slice number must be a one-dimensional array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01114arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1115.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1115.vhd
new file mode 100644
index 000000000..c48d5bbed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1115.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1115.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01115ent IS
+END c06s05b00x00p03n01i01115ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01115arch OF c06s05b00x00p03n01i01115ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A1B is array (FIVE range <>) of BOOLEAN;
+ subtype A1 is A1B(FIVE);
+ type A2B is array (FIVE range <>, FIVE range <>) of A1;
+ subtype A2 is A2B(FIVE, FIVE);
+
+ function G return A2 is
+ begin
+ return (others => (others => (others => false)));
+ end G;
+
+ variable V1: A1;
+ variable V2: A2;
+ BEGIN
+ V1(2 to 4) := G(3 to 5); -- ERROR: prefix of a slice name
+ -- cannot be a function value
+ -- of a multi-dimensional array type
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01115 - Prefix of a slice number must be a one-dimensional array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01115arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1116.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1116.vhd
new file mode 100644
index 000000000..70c4d3f45
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1116.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1116.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01116ent IS
+END c06s05b00x00p03n01i01116ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01116arch OF c06s05b00x00p03n01i01116ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FIVE is range 1 to 5;
+ type A1B is array (FIVE range <>) of BOOLEAN;
+ subtype A1 is A1B(FIVE);
+ type A2B is array (FIVE range <>, FIVE range <>) of A1;
+ subtype A2 is A2B(FIVE, FIVE);
+
+ type R is record
+ RE1: INTEGER;
+ end record;
+
+ variable V1: A1;
+ variable V2: A2;
+ variable R1: R;
+ BEGIN
+ V1(3 to 4) := R1(2 to 5); -- ERROR: prefix of a slice name
+ -- cannot be a record object
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01116 - Prefix of a slice number must be a one-dimensional array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01116arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1118.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1118.vhd
new file mode 100644
index 000000000..2b5e67a29
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1118.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1118.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p03n01i01118ent IS
+END c06s05b00x00p03n01i01118ent;
+
+ARCHITECTURE c06s05b00x00p03n01i01118arch OF c06s05b00x00p03n01i01118ent IS
+
+ type idx is range 1 to 10;
+ type aray1 is array (idx) of bit;
+ type aray2 is array (idx range <>) of aray1;
+
+BEGIN
+ TESTING: PROCESS
+ variable v1 : aray1; -- default is all '0'
+ BEGIN
+ --
+ -- Try slices of aggregates
+ --
+ v1 := "1111111111";
+ v1 := aray1'(others => '0')(idx); -- slice is the whole aggr
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p03n01i01118 - Slice of an aggregate as a value failed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p03n01i01118arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc112.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc112.vhd
new file mode 100644
index 000000000..491873de7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc112.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc112.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n06i00112ent IS
+ port ( S1 : out BIT_VECTOR(0 to 3) := "1011";
+ S2 : out BIT := '1') ;
+END c04s03b02x00p29n06i00112ent;
+
+ARCHITECTURE c04s03b02x00p29n06i00112arch OF c04s03b02x00p29n06i00112ent IS
+ signal S3 : BIT;
+BEGIN
+
+ S3 <= S2 after 20 ns; --Failure here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n06i00112 - Interface object of mode out cannot be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n06i00112arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1124.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1124.vhd
new file mode 100644
index 000000000..1076fb87b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1124.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1124.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01124ent IS
+END c06s05b00x00p04n01i01124ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01124arch OF c06s05b00x00p04n01i01124ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BIT_VECTOR is array (positive range <>) of BIT;
+ variable NUM1 : BIT_VECTOR(1 to 10) := B"01_01_01_01_01";
+ BEGIN
+ NUM1(7 to 12) := B"010_010";
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01124 - Bounds of the slice cannot exceed those defined by the discrete range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01124arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1125.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1125.vhd
new file mode 100644
index 000000000..24a5169c2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1125.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1125.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01125ent IS
+END c06s05b00x00p04n01i01125ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01125arch OF c06s05b00x00p04n01i01125ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BIT_VECTOR is array (bit range <>) of BIT;
+ variable NUM1 : BIT_VECTOR (0 to 1) := "00"; -- 0 to 1 is incorrect.
+ -- should be '0' to '1'.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01125 - Bounds of the discrete range must be the type of the index of the array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01125arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1127.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1127.vhd
new file mode 100644
index 000000000..b819e1189
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1127.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1127.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01127ent IS
+END c06s05b00x00p04n01i01127ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01127arch OF c06s05b00x00p04n01i01127ent IS
+
+ type idx is range 1 to 10;
+ type aray1 is array (idx) of bit;
+ type aray2 is array (idx range <>) of aray1;
+BEGIN
+ TESTING: PROCESS
+ variable v2 : aray1;
+ variable v3 : aray2(1 to 2);
+ BEGIN
+ v2 := v3(2 to 2)(1); -- wrong index
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01127 - Invalid index for slice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01127arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1128.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1128.vhd
new file mode 100644
index 000000000..519c5bd67
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1128.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1128.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01128ent IS
+END c06s05b00x00p04n01i01128ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01128arch OF c06s05b00x00p04n01i01128ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type NNUM1 is (M1, M2, M3, M4, M5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+ variable VF1LOW: FIVE1 := 2;
+ variable VF2HIGH: FIVE2 := 4;
+ type AE5 is array (M1 to M5) of BOOLEAN;
+ type AF5 is array (FIVE1) of BOOLEAN;
+
+ variable VAE5: AE5 ;
+ variable VAF5: AF5 ;
+ BEGIN
+ VAF5(2 to 4) := VAF5(VF1LOW to VF2HIGH);
+ -- ERROR: BOUNDS OF DISCRETE RANGE MUST BE OF SAME TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01128 - Bounds of discrete ranges must be of same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01128arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1129.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1129.vhd
new file mode 100644
index 000000000..4402fb2c0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1129.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1129.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01129ent IS
+END c06s05b00x00p04n01i01129ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01129arch OF c06s05b00x00p04n01i01129ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A1B is array (ENUM1 range <>) of BOOLEAN;
+ subtype A1 is A1B(ENUM1);
+ type A2B is array (ENUM2 range <>) of A1;
+
+ variable V1: A1 ;
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V1(M2 to M4) := V1(N1 to N5);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01129 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01129arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1130.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1130.vhd
new file mode 100644
index 000000000..0e30e59a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1130.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1130.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01130ent IS
+END c06s05b00x00p04n01i01130ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01130arch OF c06s05b00x00p04n01i01130ent IS
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A1B is array (ENUM1 range <>) of BOOLEAN;
+ subtype A1 is A1B(ENUM1);
+
+ variable V1: A1 ;
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V1(M2 to M4) := V1(M1 to N5);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01130 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01130arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1131.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1131.vhd
new file mode 100644
index 000000000..e7119a1fe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1131.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1131.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01131ent IS
+END c06s05b00x00p04n01i01131ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01131arch OF c06s05b00x00p04n01i01131ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A1B is array (ENUM1 range <>) of BOOLEAN;
+ subtype A1 is A1B(ENUM1);
+
+ variable V1: A1 ;
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V1(M2 to M4) := V1(N1 to M5);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01131 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01131arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1132.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1132.vhd
new file mode 100644
index 000000000..4de60b684
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1132.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1132.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01132ent IS
+END c06s05b00x00p04n01i01132ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01132arch OF c06s05b00x00p04n01i01132ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A1B is array (ENUM1 range <>) of BOOLEAN;
+ subtype A1 is A1B(ENUM1);
+ type A2B is array (ENUM2 range <>) of A1;
+ subtype A2 is A2B(ENUM2);
+
+ variable V1: A1 ;
+ variable V2: A2 ;
+
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V2(N3)(M2 to M4) := V2(N3)(N1 to N2);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01132 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01132arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1133.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1133.vhd
new file mode 100644
index 000000000..d36850b1e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1133.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1133.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01133ent IS
+END c06s05b00x00p04n01i01133ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01133arch OF c06s05b00x00p04n01i01133ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A3B is array (FIVE1 range <>) of BOOLEAN;
+ subtype A3 is A3B(FIVE1);
+
+ variable V3: A3 ;
+
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V3(2 to 4) := V3(FIVE2_2 to FIVE2_4);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01133 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01133arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1134.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1134.vhd
new file mode 100644
index 000000000..4471d144e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1134.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1134.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01134ent IS
+END c06s05b00x00p04n01i01134ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01134arch OF c06s05b00x00p04n01i01134ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+
+ type A4B is array (FIVE2 range <>) of A3;
+ subtype A4 is A4B(FIVE2);
+
+ variable V4: A4 ;
+
+ constant FIVE2_2: FIVE2 := 2;
+ constant FIVE2_4: FIVE2 := 4;
+ BEGIN
+ V4(3)(2 to 4) := V4(3)(FIVE2_2 to FIVE2_4);
+ -- SEMANTIC ERROR: DISCRETE RANGE INCOMPATIBLE WITH INDEX TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01134 - Discrete range incompatible with index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01134arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1135.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1135.vhd
new file mode 100644
index 000000000..b31174621
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1135.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1135.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n01i01135ent IS
+END c06s05b00x00p04n01i01135ent;
+
+ARCHITECTURE c06s05b00x00p04n01i01135arch OF c06s05b00x00p04n01i01135ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5);
+ type ENUM2 is (N1, N2, N3, N4, N5);
+ type FIVE1 is range 1 to 5;
+ type FIVE2 is range 1 to 5;
+ variable VE1LOW: ENUM1 := M2;
+ variable VE2HIGH: ENUM2 := N4;
+ variable VF1LOW: FIVE1 := 2;
+ variable VF2HIGH: FIVE2 := 4;
+ type AE5 is array (M1 to M5) of BOOLEAN;
+ type AF5 is array (FIVE1) of BOOLEAN;
+ variable VAE5: AE5 ;
+ variable VAF5: AF5 ;
+ BEGIN
+ VAE5(M2 to M4) := VAE5(VE1LOW to VE2HIGH);
+ -- SEMANTIC ERROR: BOUNDS OF DISCRETE RANGE MUST BE OF SAME TYPE
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n01i01135 - Bounds of discrete ranges must be of same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n01i01135arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1136.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1136.vhd
new file mode 100644
index 000000000..d7b9f0989
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1136.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1136.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p04n02i01136ent IS
+ type idx is range 1 to 10;
+ type aray1 is array (idx range <>) of bit;
+END c06s05b00x00p04n02i01136ent;
+
+ARCHITECTURE c06s05b00x00p04n02i01136arch OF c06s05b00x00p04n02i01136ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable v1, v2 : aray1(idx); -- default is all '0'
+ BEGIN
+ --
+ -- Test the range direction
+ --
+ v1 := "1111111111";
+ v2 := v1(10 downto 1); -- range is opposite
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p04n02i01136 - The direction of the discrete range must be the same as that of the prefix of the slice name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p04n02i01136arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1141.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1141.vhd
new file mode 100644
index 000000000..2a0cf7860
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1141.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1141.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p05n02i01141ent IS
+END c06s05b00x00p05n02i01141ent;
+
+ARCHITECTURE c06s05b00x00p05n02i01141arch OF c06s05b00x00p05n02i01141ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5, M6);
+ type A is array ( ENUM1 range <> ) of BOOLEAN;
+ subtype A1 is A(M1 to M6) ;
+ subtype A2 is A(M6 downto M1) ;
+ variable V1: A1 ;
+ variable V2: A2 ;
+ BEGIN
+ V1(M2 to M4) := V1(M4 downto M2);
+ --ERROR: discrete range descending when the prefix
+ --type was declared with a ascending range results in a null
+ --slice, which is incompatible with non-null slice
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p05n02i01141 - Null slice is not compatible with non-null slice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p05n02i01141arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1142.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1142.vhd
new file mode 100644
index 000000000..b55947111
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1142.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1142.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p05n02i01142ent IS
+END c06s05b00x00p05n02i01142ent;
+
+ARCHITECTURE c06s05b00x00p05n02i01142arch OF c06s05b00x00p05n02i01142ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is (M1, M2, M3, M4, M5, M6);
+ type A is array ( ENUM1 range <> ) of BOOLEAN;
+ subtype A1 is A(M1 to M6) ;
+ subtype A2 is A(M6 downto M1) ;
+ variable V1: A1 ;
+ variable V2: A2 ;
+ BEGIN
+ V2(M4 downto M2) := V2(M2 to M4);
+ --ERROR: discrete range ascending when the prefix
+ --type was declared with a descending range results in a null
+ --slice, which is incompatible with non-null slice
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p05n02i01142 - Null slice is not compatible with non-null slice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p05n02i01142arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1143.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1143.vhd
new file mode 100644
index 000000000..0ea4231b9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1143.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1143.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p05n02i01143ent IS
+END c06s05b00x00p05n02i01143ent;
+
+ARCHITECTURE c06s05b00x00p05n02i01143arch OF c06s05b00x00p05n02i01143ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B is array ( INTEGER range <> ) of BOOLEAN;
+ subtype B1 is B(1 to 6) ;
+ subtype B2 is B(6 downto 1) ;
+ variable V3: B1 ;
+ variable V4: B2 ;
+ BEGIN
+ V3(2 to 4) := V3(4 downto 2);
+ --ERROR: discrete range descending when the prefix
+ --type was declared with a ascending range results in a null
+ --slice, which is incompatible with non-null slice
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p05n02i01143 - Null slice is not compatible with non-null slice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p05n02i01143arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1144.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1144.vhd
new file mode 100644
index 000000000..eda1cbe37
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1144.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1144.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p05n02i01144ent IS
+END c06s05b00x00p05n02i01144ent;
+
+ARCHITECTURE c06s05b00x00p05n02i01144arch OF c06s05b00x00p05n02i01144ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B is array ( INTEGER range <> ) of BOOLEAN;
+ subtype B2 is B(6 downto 1) ;
+ variable V4: B2 ;
+ BEGIN
+ V4(4 downto 2) := V4(2 to 4);
+ --ERROR: discrete range ascending when the prefix
+ --type was declared with a descending range results in a null
+ --slice, which is incompatible with non-null slice
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p05n02i01144 - Null slice is not compatible with non-null slice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p05n02i01144arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1146.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1146.vhd
new file mode 100644
index 000000000..7e61a9cc1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1146.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1146.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s05b00x00p05n02i01146ent IS
+END c06s05b00x00p05n02i01146ent;
+
+ARCHITECTURE c06s05b00x00p05n02i01146arch OF c06s05b00x00p05n02i01146ent IS
+
+BEGIN
+ TESTING: PROCESS
+ TYPE A IS ARRAY (NATURAL RANGE <>) OF INTEGER;
+ SUBTYPE A6 IS A (1 TO 6);
+ SUBTYPE A8 IS A (1 TO 8);
+ FUNCTION func1 RETURN A6 IS
+ BEGIN
+ RETURN (1,2,3,4,5,6);
+ END;
+ VARIABLE ReturnValue : A8;
+ BEGIN
+ ReturnValue := func1(1 TO 8);
+ assert FALSE
+ report "***FAILED TEST: c06s05b00x00p05n02i01146 - The bounds of the discrete range does not belong to the index range of the prefixing array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s05b00x00p05n02i01146arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1160.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1160.vhd
new file mode 100644
index 000000000..1264737ac
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1160.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1160.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p02n01i01160ent IS
+END c06s06b00x00p02n01i01160ent;
+
+ARCHITECTURE c06s06b00x00p02n01i01160arch OF c06s06b00x00p02n01i01160ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type I1 is range 1 to 3;
+ type A1 is array (I1) of BOOLEAN;
+ BEGIN
+ if (1|2|3=>TRUE)'LOW = 1 then
+ -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF
+ -- ATTRIBUTE NAME
+ -- return;
+ null ;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: /c06s06b00x00p02n01i01160 - Prefix of an attribute name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p02n01i01160arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1161.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1161.vhd
new file mode 100644
index 000000000..c464ca25a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1161.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1161.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p02n01i01161ent IS
+END c06s06b00x00p02n01i01161ent;
+
+ARCHITECTURE c06s06b00x00p02n01i01161arch OF c06s06b00x00p02n01i01161ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type I1 is range 1 to 3;
+ type A1 is array (I1) of BOOLEAN;
+ BEGIN
+ if (A1'(1|2|3=>TRUE))'LOW = 1 then
+ -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF
+ -- ATTRIBUTE NAME
+ -- return;
+ null ;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p02n01i01161 - Prefix of an attribute name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p02n01i01161arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1162.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1162.vhd
new file mode 100644
index 000000000..259c7db7d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1162.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1162.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p02n01i01162ent IS
+END c06s06b00x00p02n01i01162ent;
+
+ARCHITECTURE c06s06b00x00p02n01i01162arch OF c06s06b00x00p02n01i01162ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type I1 is range 1 to 3;
+ type A1 is array (I1) of BOOLEAN;
+ BEGIN
+ if (1|2|3=>TRUE)'RIGHT = 3 then
+ -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF
+ -- ATTRIBUTE NAME
+ -- return;
+ null ;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p02n01i01162 - Prefix of an attribute name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p02n01i01162arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1163.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1163.vhd
new file mode 100644
index 000000000..c5c8db80b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1163.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1163.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p02n01i01163ent IS
+END c06s06b00x00p02n01i01163ent;
+
+ARCHITECTURE c06s06b00x00p02n01i01163arch OF c06s06b00x00p02n01i01163ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type I1 is range 1 to 3;
+ type A1 is array (I1) of BOOLEAN;
+ BEGIN
+ if (A1'(1|2|3=>TRUE))'RIGHT = 3 then
+ -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF
+ -- ATTRIBUTE NAME
+ -- return;
+ null ;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p02n01i01163 - Prefix of an attribute name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p02n01i01163arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1168.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1168.vhd
new file mode 100644
index 000000000..f2495b636
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1168.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1168.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01168ent IS
+END c06s06b00x00p06n01i01168ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01168arch OF c06s06b00x00p06n01i01168ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type II is range 1 to 1000;
+ type RR is range 0.0001 to 10000.01;
+ function F1 (A:II;B:RR) return BOOLEAN is
+ variable G1 : II;
+ variable G2 : RR;
+ begin
+ if (G1'HIGH(A) <= 0) then -- ERROR: attribute does not have a
+ -- generic expression assoc. with it.
+ return FALSE;
+ end if;
+ end F1;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01168 - Arrtribute does not have generic expression associated with it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01168arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1169.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1169.vhd
new file mode 100644
index 000000000..d2fbf40ba
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1169.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1169.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01169ent IS
+END c06s06b00x00p06n01i01169ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01169arch OF c06s06b00x00p06n01i01169ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type II is range 1 to 1000;
+ type RR is range 0.0001 to 10000.01;
+ function F1 (A:II;B:RR) return BOOLEAN is
+ variable G1 : II;
+ variable G2 : RR;
+ begin
+ if (G2'LOW(B) /= 0.0) then -- ERROR: attribute does not have a
+ -- generic expression assoc. with it.
+ return FALSE;
+ end if;
+ end F1;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01169 - Arrtribute does not have generic expression associated with it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01169arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1170.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1170.vhd
new file mode 100644
index 000000000..a626c2658
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1170.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1170.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01170ent IS
+END c06s06b00x00p06n01i01170ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01170arch OF c06s06b00x00p06n01i01170ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type II is range 1 to 1000;
+ type RR is range 0.0001 to 10000.01;
+ function F1 (A:II;B:RR) return BOOLEAN is
+ variable G1 : II;
+ variable G2 : RR;
+ begin
+ if (A'LEFT(0) /= 0) then -- ERROR: attribute does not have a
+ -- generic expression assoc. with it.
+ return FALSE;
+ end if;
+ end F1;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01170 - Arrtribute does not have generic expression associated with it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01170arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1171.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1171.vhd
new file mode 100644
index 000000000..d9df727e2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1171.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1171.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01171ent IS
+END c06s06b00x00p06n01i01171ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01171arch OF c06s06b00x00p06n01i01171ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type II is range 1 to 1000;
+ type RR is range 0.0001 to 10000.01;
+ function F1 (A:II;B:RR) return BOOLEAN is
+ variable G1 : II;
+ variable G2 : RR;
+ begin
+ if (B'RIGHT(0.0) /= 0.0) then -- ERROR: attribute does not have a
+ -- generic expression assoc.
+ -- with it.
+ return FALSE;
+ end if;
+ end F1;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01171 - Arrtribute does not have generic expression associated with it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01171arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1172.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1172.vhd
new file mode 100644
index 000000000..cba73fe9e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1172.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1172.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01172ent IS
+END c06s06b00x00p06n01i01172ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01172arch OF c06s06b00x00p06n01i01172ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type II is range 1 to 1000;
+ type RR is range 0.0001 to 10000.01;
+ function F1 (A:II;B:RR) return BOOLEAN is
+ variable G1 : II;
+ variable G2 : RR;
+ begin
+ if (A'BASE'LEFT(B) /= 11) then -- ERROR: attribute does not have a
+ -- generic expression assoc.
+ -- with it
+ return FALSE;
+ end if;
+ end F1;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01172 - Arrtribute does not have generic expression associated with it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01172arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1173.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1173.vhd
new file mode 100644
index 000000000..c76b99e1a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1173.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1173.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s06b00x00p06n01i01173ent IS
+END c06s06b00x00p06n01i01173ent;
+
+ARCHITECTURE c06s06b00x00p06n01i01173arch OF c06s06b00x00p06n01i01173ent IS
+ signal POS : Integer;
+ attribute PIO : positive;
+ attribute PIO of POS : signal is 10; -- No_failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (POS'PIO(1) = 10) then -- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c06s06b00x00p06n01i01173 - Static expression must not be present."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s06b00x00p06n01i01173arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1181.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1181.vhd
new file mode 100644
index 000000000..76d65b7a0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1181.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1181.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s00b00x00p02n01i01181ent IS
+END c08s00b00x00p02n01i01181ent;
+
+ARCHITECTURE c08s00b00x00p02n01i01181arch OF c08s00b00x00p02n01i01181ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 5;
+ variable p : integer := 3;
+ BEGIN
+ if (k > p) generate
+ end generate;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s00b00x00p02n01i01181 - Concurrent statement are not permitted within sequence of statements."
+ severity ERROR;
+ wait;
+END PROCESS TESTING;
+
+END c08s00b00x00p02n01i01181arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1184.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1184.vhd
new file mode 100644
index 000000000..615f6f947
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1184.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1184.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p02n01i01184ent IS
+END c08s01b00x00p02n01i01184ent;
+
+ARCHITECTURE c08s01b00x00p02n01i01184arch OF c08s01b00x00p02n01i01184ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 0;
+ variable j : integer := 0;
+ BEGIN
+ wait until (j = 1) on i;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p02n01i01184 - Condition clause before sensitivity clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p02n01i01184arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1185.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1185.vhd
new file mode 100644
index 000000000..f72ccd5df
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1185.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1185.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p02n01i01185ent IS
+END c08s01b00x00p02n01i01185ent;
+
+ARCHITECTURE c08s01b00x00p02n01i01185arch OF c08s01b00x00p02n01i01185ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 0;
+ BEGIN
+ wait for 60 ns on i;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p02n01i01185 - Timeout clause before sensitivity clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p02n01i01185arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1186.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1186.vhd
new file mode 100644
index 000000000..58c8106ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1186.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1186.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p02n01i01186ent IS
+END c08s01b00x00p02n01i01186ent;
+
+ARCHITECTURE c08s01b00x00p02n01i01186arch OF c08s01b00x00p02n01i01186ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ wait for 60 ns until (k = 1);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p02n01i01186 - Timeout clause before condition clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p02n01i01186arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1188.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1188.vhd
new file mode 100644
index 000000000..56f82291c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1188.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1188.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p03n01i01188ent IS
+END c08s01b00x00p03n01i01188ent;
+
+ARCHITECTURE c08s01b00x00p03n01i01188arch OF c08s01b00x00p03n01i01188ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on ;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p03n01i01188 - Sensitivity list is missed form wait on clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p03n01i01188arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1189.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1189.vhd
new file mode 100644
index 000000000..1cc46da8d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1189.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1189.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p03n01i01189ent IS
+END c08s01b00x00p03n01i01189ent;
+
+ARCHITECTURE c08s01b00x00p03n01i01189arch OF c08s01b00x00p03n01i01189ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on ii;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p03n01i01189 - Undefined signal in sensitivity list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p03n01i01189arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1190.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1190.vhd
new file mode 100644
index 000000000..af43820cd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1190.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1190.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p03n01i01190ent IS
+END c08s01b00x00p03n01i01190ent;
+
+ARCHITECTURE c08s01b00x00p03n01i01190arch OF c08s01b00x00p03n01i01190ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ variable ii : integer;
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on ii;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p03n01i01190 - Variable in sensitivity list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p03n01i01190arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1191.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1191.vhd
new file mode 100644
index 000000000..302e7b4b0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1191.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1191.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p03n01i01191ent IS
+ port (signal I : in Bit;
+ signal O : out Bit);
+END c08s01b00x00p03n01i01191ent;
+
+ARCHITECTURE c08s01b00x00p03n01i01191arch OF c08s01b00x00p03n01i01191ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on O;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p03n01i01191 - Output port in sensitivity list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p03n01i01191arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1193.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1193.vhd
new file mode 100644
index 000000000..ba694f41a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1193.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1193.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p05n01i01193ent IS
+END c08s01b00x00p05n01i01193ent;
+
+ARCHITECTURE c08s01b00x00p05n01i01193arch OF c08s01b00x00p05n01i01193ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait no k;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p05n01i01193 - Reserved word 'on' is misspelled"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p05n01i01193arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1194.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1194.vhd
new file mode 100644
index 000000000..848e8b575
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1194.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1194.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p05n01i01194ent IS
+END c08s01b00x00p05n01i01194ent;
+
+ARCHITECTURE c08s01b00x00p05n01i01194arch OF c08s01b00x00p05n01i01194ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on k untli (k = 5);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p05n01i01194 - Reserved word 'until' is misspelled"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p05n01i01194arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1195.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1195.vhd
new file mode 100644
index 000000000..994927b18
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1195.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1195.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p05n01i01195ent IS
+END c08s01b00x00p05n01i01195ent;
+
+ARCHITECTURE c08s01b00x00p05n01i01195arch OF c08s01b00x00p05n01i01195ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait on k until (k = 5) rof 60 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p05n01i01195 - Reserved word 'for' is misspelled"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p05n01i01195arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1196.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1196.vhd
new file mode 100644
index 000000000..09c538fe2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1196.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1196.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p05n01i01196ent IS
+END c08s01b00x00p05n01i01196ent;
+
+ARCHITECTURE c08s01b00x00p05n01i01196arch OF c08s01b00x00p05n01i01196ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ variable j : integer := 0;
+ BEGIN
+ k <= 5 after 5 ns;
+ j := 5;
+ wait until j;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p05n01i01196 - Reserved word 'until' must be followed by a boolean expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p05n01i01196arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1198.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1198.vhd
new file mode 100644
index 000000000..8eb386113
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1198.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1198.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p05n01i01198ent IS
+END c08s01b00x00p05n01i01198ent;
+
+ARCHITECTURE c08s01b00x00p05n01i01198arch OF c08s01b00x00p05n01i01198ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait until 5;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p05n01i01198 - Condition clause in wait statement must be boolean expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p05n01i01198arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc12.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc12.vhd
new file mode 100644
index 000000000..2bed28fe9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc12.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc12.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p02n01i00012ent IS
+END c04s02b00x00p02n01i00012ent;
+
+ARCHITECTURE c04s02b00x00p02n01i00012arch OF c04s02b00x00p02n01i00012ent IS
+
+ --reserved word misspelled
+ subtyp GROUND is BIT range '0' to '0';
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s02b00x00p02n01i00012 - The reserved word is misspelled."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p02n01i00012arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc120.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc120.vhd
new file mode 100644
index 000000000..23e67aa63
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc120.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc120.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00120ent IS
+ port ( lpt1 : linkage BIT;
+ lpt2 : linkage BIT;
+ lpt3 : linkage BIT;
+ lpt4 : linkage BIT;
+ lpt5 : linkage BIT;
+ lpt6 : linkage BIT) ;
+END c04s03b02x00p29n15i00120ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00120arch OF c04s03b02x00p29n15i00120ent IS
+ signal S1 : BIT;
+BEGIN
+
+ S1 <= lpt1; -- Failure_here
+ -- ERROR: Interface elements of mode linkage may not be read except
+ -- by association with formal linkage ports of subcomponents.
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n15i00120 - Reading and updating are not permitted on this mode."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00120arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1200.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1200.vhd
new file mode 100644
index 000000000..8acf04755
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1200.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1200.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p07n01i01200ent IS
+END c08s01b00x00p07n01i01200ent;
+
+ARCHITECTURE c08s01b00x00p07n01i01200arch OF c08s01b00x00p07n01i01200ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ variable j : integer := 0;
+ BEGIN
+ k <= 5 after 5 ns;
+ j := 5;
+ wait for j;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p07n01i01200 - Time expression is missing in the timeout expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p07n01i01200arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1201.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1201.vhd
new file mode 100644
index 000000000..33641df16
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1201.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1201.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p07n01i01201ent IS
+END c08s01b00x00p07n01i01201ent;
+
+ARCHITECTURE c08s01b00x00p07n01i01201arch OF c08s01b00x00p07n01i01201ent IS
+ signal k : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 5 after 5 ns;
+ wait for TRUE;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p07n01i01201 - Timeout clause must use a time expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p07n01i01201arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1202.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1202.vhd
new file mode 100644
index 000000000..959806503
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1202.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1202.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p08n03i01202ent IS
+END c08s01b00x00p08n03i01202ent;
+
+ARCHITECTURE c08s01b00x00p08n03i01202arch OF c08s01b00x00p08n03i01202ent IS
+ signal A : bit_vector (10 to 13) := B"0101";
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 11;
+ BEGIN
+ wait on A(k);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p08n03i01202 - Signal name in the ON expression is not static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p08n03i01202arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1203.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1203.vhd
new file mode 100644
index 000000000..a9b24bb35
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1203.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1203.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p08n03i01203ent IS
+END c08s01b00x00p08n03i01203ent;
+
+ARCHITECTURE c08s01b00x00p08n03i01203arch OF c08s01b00x00p08n03i01203ent IS
+ type SWORD is ARRAY(0 to 31) of integer;
+ signal Res : SWORD;
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ for k in 0 to 31 loop
+ wait on Res(k);
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p08n03i01203 - Signal name in the ON expression is not static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p08n03i01203arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1204.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1204.vhd
new file mode 100644
index 000000000..3869e08f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1204.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1204.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p08n03i01204ent IS
+ port ( signal O : out BIT );
+END c08s01b00x00p08n03i01204ent;
+
+ARCHITECTURE c08s01b00x00p08n03i01204arch OF c08s01b00x00p08n03i01204ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ O <= '1';
+ wait on O;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p08n03i01204 - Signal name in the ON expression is not static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p08n03i01204arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc121.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc121.vhd
new file mode 100644
index 000000000..720675abb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc121.vhd
@@ -0,0 +1,91 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc121.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00121ent_a IS
+ port ( cpt1 : in BIT;
+ cpt2 : inout BIT;
+ cpt3 : out BIT;
+ cpt4 : buffer BIT;
+ cpt5 : linkage BIT);
+END c04s03b02x00p29n15i00121ent_a;
+
+ARCHITECTURE c04s03b02x00p29n15i00121arch_a OF c04s03b02x00p29n15i00121ent_a IS
+BEGIN
+END c04s03b02x00p29n15i00121arch_a;
+
+
+
+
+ENTITY c04s03b02x00p29n15i00121ent IS
+ port ( lpt1 : linkage BIT;
+ lpt2 : linkage BIT;
+ lpt3 : linkage BIT;
+ lpt4 : linkage BIT;
+ lpt5 : linkage BIT;
+ lpt6 : linkage BIT) ;
+END c04s03b02x00p29n15i00121ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00121arch OF c04s03b02x00p29n15i00121ent IS
+ component com1
+ port ( cpt1 : in BIT;
+ cpt2 : inout BIT;
+ cpt3 : out BIT;
+ cpt4 : buffer BIT;
+ cpt5 : linkage BIT);
+ end component;
+ for CIS : com1 use entity work.ch040302_p03401_03_01_ent_a(ch040302_p03401_03_01_arch_a);
+BEGIN
+ CIS : com1 port map (cpt1 => lpt2, -- in formal -- Failure_here
+ -- ERROR: Interface elements of mode linkage may not be read except
+ -- by association with formal linkage ports of subcomponents.
+
+ cpt2 => lpt3, -- inout formal -- Failure_here
+ -- ERROR: Interface elements of mode linkage may not be read except
+ -- by association with formal linkage ports of subcomponents.
+
+ cpt3 => lpt4, -- out formal -- Failure_here
+ -- ERROR: Interface elements of mode linkage may not be read except
+ -- by association with formal linkage ports of subcomponents.
+
+ cpt4 => lpt5, -- buffer formal -- Failure_here
+ -- ERROR: Interface elements of mode linkage may not be read except
+ -- by association with formal linkage ports of subcomponents.
+
+ cpt5 => lpt6);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n15i00121 - Reading and updating are not permitted on this mode."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00121arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1217.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1217.vhd
new file mode 100644
index 000000000..cc669c6b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1217.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1217.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p26n03i01217ent IS
+END c08s01b00x00p26n03i01217ent;
+
+ARCHITECTURE c08s01b00x00p26n03i01217arch OF c08s01b00x00p26n03i01217ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant t1 : time := 10 ns;
+ constant t2 : time := 20 ns;
+ BEGIN
+ wait for (t1 - t2);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p26n03i01217 - The FOR clause in a WAIT statement must evaluate to a positive value."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p26n03i01217arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1219.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1219.vhd
new file mode 100644
index 000000000..57bd94546
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1219.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1219.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p26n03i01219ent IS
+END c08s01b00x00p26n03i01219ent;
+
+ARCHITECTURE c08s01b00x00p26n03i01219arch OF c08s01b00x00p26n03i01219ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ --
+ -- The following wait statement is illegal; time
+ -- expressions may not be negative. This test is
+ -- based on 32 bit time values.
+ --
+ wait for x"FFFFFFFE"; -- illegal time expression (-1 ?)
+
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p26n03i01219 - Negative time expression accepted in a wait statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p26n03i01219arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc122.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc122.vhd
new file mode 100644
index 000000000..4d2570dfd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc122.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc122.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00122ent IS
+ port (PT: linkage BOOLEAN);
+END c04s03b02x00p29n15i00122ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00122arch OF c04s03b02x00p29n15i00122ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ Variable I2 : BOOLEAN;
+ BEGIN
+ I2 := PT'STABLE; -- Failure_here
+ -- ERROR: ATTRIBUTES OF INTERFACE ELEMENTS OF MODE LINKAGE CANNOT BE READ
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n15i00122 - Attributes of interface elements of mode linkage can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00122arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1226.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1226.vhd
new file mode 100644
index 000000000..ad93d17eb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1226.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1226.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p29n01i01226ent IS
+END c08s01b00x00p29n01i01226ent;
+
+ARCHITECTURE c08s01b00x00p29n01i01226arch OF c08s01b00x00p29n01i01226ent IS
+BEGIN
+ TESTING: PROCESS
+ function test_1 (a:integer; b:boolean) return integer is
+ variable c : integer := 1;
+ begin
+ wait for 100 ns;
+ return c;
+ end;
+ variable k : integer := 0;
+ variable y : boolean := false;
+ variable i : integer;
+ BEGIN
+ i := test_1 (a=>k, b=>y);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p29n01i01226 - Wait not allowed in a function subprogram."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p29n01i01226arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1228.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1228.vhd
new file mode 100644
index 000000000..ddf73461d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1228.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1228.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p29n02i01228ent IS
+ port(s1, s2 : bit);
+END c08s01b00x00p29n02i01228ent;
+
+ARCHITECTURE c08s01b00x00p29n02i01228arch OF c08s01b00x00p29n02i01228ent IS
+
+BEGIN
+ TESTING: PROCESS(s1,s2)
+ BEGIN
+ wait on s1, s2;
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p29n02i01228 - Wait not allowed in a process with a sensitivity list"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s01b00x00p29n02i01228arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1229.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1229.vhd
new file mode 100644
index 000000000..1e4bfaa31
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1229.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1229.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s01b00x00p29n02i01229ent IS
+ port(p : bit);
+ EEND c08s01b00x00p29n02i01229ent;
+
+ ARCHITECTURE c08s01b00x00p29n02i01229arch OF c08s01b00x00p29n02i01229ent IS
+
+ BEGIN
+ TESTING: PROCESS(p)
+ procedure test_1 (a :integer; b: boolean; c : out integer) is
+ begin
+ if b then c := a + 1;
+ end if;
+ wait for 1 ns;
+ end;
+ variable x : integer := 2;
+ variable y : boolean := False;
+ variable i : integer;
+ BEGIN
+ test_1 (a => x, b => y, c => i);
+ assert FALSE
+ report "***FAILED TEST: c08s01b00x00p29n02i01229 - Wait not allowed in a procedure with process as parent."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c08s01b00x00p29n02i01229arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc123.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc123.vhd
new file mode 100644
index 000000000..6fe8cacbb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc123.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc123.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00123ent IS
+ port (PT: linkage BOOLEAN);
+END c04s03b02x00p29n15i00123ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00123arch OF c04s03b02x00p29n15i00123ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ Variable I2 : BOOLEAN;
+ BEGIN
+ I2 := PT'QUIET; -- Failure_here
+ -- ERROR: ATTRIBUTES OF INTERFACE ELEMENTS OF MODE LINKAGE CANNOT BE READ
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n15i00123 - Attributes of interface elements of mode linkage can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00123arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1231.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1231.vhd
new file mode 100644
index 000000000..c2a5de9c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1231.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1231.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01231ent IS
+END c08s02b00x00p03n01i01231ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01231arch OF c08s02b00x00p03n01i01231ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert 1
+ report "Report this Note"
+ severity Note;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01231 - condition in an assertion statement must be BOOLEAN type"
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01231arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1235.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1235.vhd
new file mode 100644
index 000000000..90dd7daab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1235.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1235.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01235ent IS
+END c08s02b00x00p03n01i01235ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01235arch OF c08s02b00x00p03n01i01235ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ erlab : assert FALSE;
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01235 - Labels are not permitted on sequential assertion statements."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01235arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1236.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1236.vhd
new file mode 100644
index 000000000..749139b89
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1236.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1236.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01236ent IS
+END c08s02b00x00p03n01i01236ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01236arch OF c08s02b00x00p03n01i01236ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 5;
+ BEGIN
+ assert k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01236 - The condition in the assert statement is not of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01236arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1237.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1237.vhd
new file mode 100644
index 000000000..0987cc13d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1237.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1237.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01237ent IS
+END c08s02b00x00p03n01i01237ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01237arch OF c08s02b00x00p03n01i01237ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : real;
+ BEGIN
+ assert k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01237 - The condition in the assert statement is not of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01237arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1238.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1238.vhd
new file mode 100644
index 000000000..9cda4ae0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1238.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1238.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01238ent IS
+END c08s02b00x00p03n01i01238ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01238arch OF c08s02b00x00p03n01i01238ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : BIT;
+ BEGIN
+ assert k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01238 - The condition in the assert statement is not of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01238arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1239.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1239.vhd
new file mode 100644
index 000000000..bb5ba55a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1239.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1239.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01239ent IS
+END c08s02b00x00p03n01i01239ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01239arch OF c08s02b00x00p03n01i01239ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : SEVERITY_LEVEL;
+ BEGIN
+ assert k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01239 - The condition in the assert statement is not of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01239arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc124.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc124.vhd
new file mode 100644
index 000000000..3d3ec84c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc124.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc124.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00124ent IS
+ port (PT: linkage BOOLEAN);
+END c04s03b02x00p29n15i00124ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00124arch OF c04s03b02x00p29n15i00124ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ Variable I2 : BOOLEAN;
+ BEGIN
+ I2 := PT'LAST_VALUE; -- Failure_here
+ -- ERROR: ATTRIBUTES OF INTERFACE ELEMENTS OF MODE LINKAGE CANNOT BE READ
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n15i00124 - Attributes of interface elements of mode linkage can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00124arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1240.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1240.vhd
new file mode 100644
index 000000000..61f613e2f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1240.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1240.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01240ent IS
+END c08s02b00x00p03n01i01240ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01240arch OF c08s02b00x00p03n01i01240ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE_T is array (1 to 8) of BIT;
+ variable k : BYTE_T;
+ BEGIN
+ assert k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01240 - The condition in the assert statement is not of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01240arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1241.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1241.vhd
new file mode 100644
index 000000000..d2d3ed695
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1241.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1241.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p03n01i01241ent IS
+END c08s02b00x00p03n01i01241ent;
+
+ARCHITECTURE c08s02b00x00p03n01i01241arch OF c08s02b00x00p03n01i01241ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert TRUE
+ severity WARNING
+ report "This should not get through";
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p03n01i01241 - The severity clause of an assert statement can not precede the report"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p03n01i01241arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1242.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1242.vhd
new file mode 100644
index 000000000..f37cdba8a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1242.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1242.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01242ent IS
+END c08s02b00x00p04n01i01242ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01242arch OF c08s02b00x00p04n01i01242ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant N2 : Character := 'R';
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01242 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01242arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1243.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1243.vhd
new file mode 100644
index 000000000..8734a14e9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1243.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1243.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01243ent IS
+END c08s02b00x00p04n01i01243ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01243arch OF c08s02b00x00p04n01i01243ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable N2 : Character := 'R';
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01243 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01243arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1244.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1244.vhd
new file mode 100644
index 000000000..06a0dc1b9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1244.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1244.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01244ent IS
+END c08s02b00x00p04n01i01244ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01244arch OF c08s02b00x00p04n01i01244ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable N2 : integer;
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01244 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01244arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1245.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1245.vhd
new file mode 100644
index 000000000..fa1c0660b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1245.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1245.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01245ent IS
+END c08s02b00x00p04n01i01245ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01245arch OF c08s02b00x00p04n01i01245ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable N2 : real;
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST:c08s02b00x00p04n01i01245 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01245arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1246.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1246.vhd
new file mode 100644
index 000000000..89a9d8537
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1246.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1246.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01246ent IS
+END c08s02b00x00p04n01i01246ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01246arch OF c08s02b00x00p04n01i01246ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable N2 : BIT;
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01246 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01246arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1247.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1247.vhd
new file mode 100644
index 000000000..ec90e7187
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1247.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1247.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01247ent IS
+END c08s02b00x00p04n01i01247ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01247arch OF c08s02b00x00p04n01i01247ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable N2 : SEVERITY_LEVEL;
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01247 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01247arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1248.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1248.vhd
new file mode 100644
index 000000000..ea59d4156
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1248.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1248.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n01i01248ent IS
+END c08s02b00x00p04n01i01248ent;
+
+ARCHITECTURE c08s02b00x00p04n01i01248arch OF c08s02b00x00p04n01i01248ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE_T is array (1 to 8) of BIT;
+ variable N2 : BYTE_T;
+ BEGIN
+
+ assert FALSE
+ report N2
+ severity NOTE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n01i01248 - Expression type used in a report clause should be STRING"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n01i01248arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1249.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1249.vhd
new file mode 100644
index 000000000..ac49354ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1249.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1249.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01249ent IS
+END c08s02b00x00p04n02i01249ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01249arch OF c08s02b00x00p04n02i01249ent IS
+
+ type SEVERITY_LEVEL is (ONE, TWO, THREE);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "Report this string"
+ severity ONE;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01249 - Severity clause must specify an expression of predifined type SEVERITY_LEVEL."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01249arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc125.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc125.vhd
new file mode 100644
index 000000000..4ec34631c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc125.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc125.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n15i00125ent IS
+ port (PT: linkage BOOLEAN);
+END c04s03b02x00p29n15i00125ent;
+
+ARCHITECTURE c04s03b02x00p29n15i00125arch OF c04s03b02x00p29n15i00125ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ Variable I2 : BOOLEAN;
+ BEGIN
+ I2 := PT'DELAYED; -- Failure_here
+ -- ERROR: ATTRIBUTES OF INTERFACE ELEMENTS OF MODE LINKAGE CANNOT BE READ
+ assert FALSE
+ report "***FAILED TEST:c04s03b02x00p29n15i00125 - Attributes of interface elements of mode linkage can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n15i00125arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1250.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1250.vhd
new file mode 100644
index 000000000..1a5b47c37
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1250.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1250.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01250ent IS
+END c08s02b00x00p04n02i01250ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01250arch OF c08s02b00x00p04n02i01250ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ severity 3.0;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01250 - Static expression must be of type SEVERITY_LEVEL"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01250_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1251.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1251.vhd
new file mode 100644
index 000000000..2183016b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1251.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1251.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01251ent IS
+END c08s02b00x00p04n02i01251ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01251arch OF c08s02b00x00p04n02i01251ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "Report this Note"
+ severity fatal;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01251 - Predefined severity_level type with non-existent value"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01251arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1252.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1252.vhd
new file mode 100644
index 000000000..1c5400e93
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1252.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1252.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01252ent IS
+END c08s02b00x00p04n02i01252ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01252arch OF c08s02b00x00p04n02i01252ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+
+ assert FALSE
+ report "Report this Note"
+ severity k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01252 - Predefined severity_level type with non-existent value"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01252arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1253.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1253.vhd
new file mode 100644
index 000000000..425b22e5c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1253.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1253.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01253ent IS
+END c08s02b00x00p04n02i01253ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01253arch OF c08s02b00x00p04n02i01253ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : real;
+ BEGIN
+
+ assert FALSE
+ report "Report this Note"
+ severity k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01253 - Predefined severity_level type with non-existent value"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01253arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1254.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1254.vhd
new file mode 100644
index 000000000..defcc9dd0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1254.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1254.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01254ent IS
+END c08s02b00x00p04n02i01254ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01254arch OF c08s02b00x00p04n02i01254ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : BIT;
+ BEGIN
+
+ assert FALSE
+ report "Report this Note"
+ severity k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01254 - Predefined severity_level type with non-existent value"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01254arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1255.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1255.vhd
new file mode 100644
index 000000000..ff72daf7b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1255.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1255.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p04n02i01255ent IS
+END c08s02b00x00p04n02i01255ent;
+
+ARCHITECTURE c08s02b00x00p04n02i01255arch OF c08s02b00x00p04n02i01255ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE_T is array(1 to 8) of BIT;
+ variable k : BYTE_T;
+ BEGIN
+
+ assert FALSE
+ report "Report this Note"
+ severity k;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p04n02i01255 - Predefined severity_level type with non-existent value"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p04n02i01255arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc126.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc126.vhd
new file mode 100644
index 000000000..b328521f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc126.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc126.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x01p02n01i00126ent IS
+ port ( ) ; -- Failure_here
+ -- ERROR - empty port list
+END c04s03b02x01p02n01i00126ent;
+
+ARCHITECTURE c04s03b02x01p02n01i00126arch OF c04s03b02x01p02n01i00126ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x01p02n01i00126 - Port list can not be empty."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x01p02n01i00126arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1264.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1264.vhd
new file mode 100644
index 000000000..87615244b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1264.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1264.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s02b00x00p06n01i01264ent IS
+END c08s02b00x00p06n01i01264ent;
+
+ARCHITECTURE c08s02b00x00p06n01i01264arch OF c08s02b00x00p06n01i01264ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable B : BIT;
+ BEGIN
+
+ assert B;
+ assert FALSE
+ report "***FAILED TEST: c08s02b00x00p06n01i01264 - Condition must be of Boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s02b00x00p06n01i01264arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc127.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc127.vhd
new file mode 100644
index 000000000..0293029c1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc127.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc127.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x01p04n01i00127ent IS
+ generic ( signal c1 : in integer ) ; -- Failure_here
+ -- signal declaration
+ -- not allowed
+END c04s03b02x01p04n01i00127ent;
+
+ARCHITECTURE c04s03b02x01p04n01i00127arch OF c04s03b02x01p04n01i00127ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x01p04n01i00127 - Only constant declarations allowed in generic interface list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x01p04n01i00127arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1270.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1270.vhd
new file mode 100644
index 000000000..dc183bc8b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1270.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1270.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p02n01i01270ent IS
+END c08s04b00x00p02n01i01270ent;
+
+ARCHITECTURE c08s04b00x00p02n01i01270arch OF c08s04b00x00p02n01i01270ent IS
+ signal T1 : integer;
+BEGIN
+ TESTING: PROCESS
+ variable a : integer := 5;
+ BEGIN
+ T1 := a;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p02n01i01270 - Invalid assignment made to signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p02n01i01270arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1271.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1271.vhd
new file mode 100644
index 000000000..f5825ec15
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1271.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1271.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p02n01i01271ent IS
+END c08s04b00x00p02n01i01271ent;
+
+ARCHITECTURE c08s04b00x00p02n01i01271arch OF c08s04b00x00p02n01i01271ent IS
+ signal T1 : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ subtype a is integer range 1 to 10;
+ BEGIN
+ T1 <= a;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p02n01i01271 - Invalid waveform assigned to signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p02n01i01271arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1272.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1272.vhd
new file mode 100644
index 000000000..fc9934207
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1272.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1272.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p02n01i01272ent IS
+END c08s04b00x00p02n01i01272ent;
+
+ARCHITECTURE c08s04b00x00p02n01i01272arch OF c08s04b00x00p02n01i01272ent IS
+ signal T1 : integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ T1 <= 1 after 10 ns transport;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p02n01i01272 - Reserved word 'transport' is out of place"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p02n01i01272arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1273.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1273.vhd
new file mode 100644
index 000000000..3afb9e258
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1273.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1273.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p02n01i01273ent IS
+END c08s04b00x00p02n01i01273ent;
+
+ARCHITECTURE c08s04b00x00p02n01i01273arch OF c08s04b00x00p02n01i01273ent IS
+ signal T1 : integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ unk <= transport 1 after 10 ns ;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p02n01i01273 - Signal name not found."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p02n01i01273arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1274.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1274.vhd
new file mode 100644
index 000000000..6d842fbd6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1274.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1274.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01274ent IS
+END c08s04b00x00p04n01i01274ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01274arch OF c08s04b00x00p04n01i01274ent IS
+ signal b : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ subtype a is integer range 1 to 10;
+ BEGIN
+ a := b;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01274 - Target of signal assignment statement is not a signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01274arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1275.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1275.vhd
new file mode 100644
index 000000000..24068b142
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1275.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1275.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01275ent IS
+END c08s04b00x00p04n01i01275ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01275arch OF c08s04b00x00p04n01i01275ent IS
+ signal S1,S2,S3 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 > S2 <= S3;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01275 - Relational expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01275arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1276.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1276.vhd
new file mode 100644
index 000000000..2bbb49323
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1276.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1276.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01276ent IS
+END c08s04b00x00p04n01i01276ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01276arch OF c08s04b00x00p04n01i01276ent IS
+ signal S1,S2,S3 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 and S2 <= S3;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01276 - Logical expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01276arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1277.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1277.vhd
new file mode 100644
index 000000000..2b5531ff0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1277.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1277.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01277ent IS
+END c08s04b00x00p04n01i01277ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01277arch OF c08s04b00x00p04n01i01277ent IS
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1**2 <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01277 - Simple expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01277arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1278.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1278.vhd
new file mode 100644
index 000000000..221d7f4b0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1278.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1278.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01278ent IS
+END c08s04b00x00p04n01i01278ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01278arch OF c08s04b00x00p04n01i01278ent IS
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ abs S1 <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01278 - Simple expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01278arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1279.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1279.vhd
new file mode 100644
index 000000000..6c5ad7d6b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1279.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1279.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01279ent IS
+END c08s04b00x00p04n01i01279ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01279arch OF c08s04b00x00p04n01i01279ent IS
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ 5.2E1 <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01279 - Literal expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01279arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc128.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc128.vhd
new file mode 100644
index 000000000..c856e7caa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc128.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc128.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x01p04n01i00128ent IS
+ generic ( variable c1 : in integer );-- Failure_here
+ -- variable declaration
+ -- not allowed
+END c04s03b02x01p04n01i00128ent;
+
+ARCHITECTURE c04s03b02x01p04n01i00128arch OF c04s03b02x01p04n01i00128ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x01p04n01i00128 - Only constant declarations allowed in generic interface list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x01p04n01i00128arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1280.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1280.vhd
new file mode 100644
index 000000000..73c11693e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1280.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1280.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01280ent IS
+END c08s04b00x00p04n01i01280ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01280arch OF c08s04b00x00p04n01i01280ent IS
+ type ENUM_1 is (ONE,TWO,THREE);
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ TWO - ONE <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01280 - Literal expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01280arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1281.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1281.vhd
new file mode 100644
index 000000000..35afb341c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1281.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1281.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01281ent IS
+END c08s04b00x00p04n01i01281ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01281arch OF c08s04b00x00p04n01i01281ent IS
+ signal S1 : integer ;
+BEGIN
+ Function FUN_1 return BOOLEAN is
+ begin
+ return FALSE;
+ end FUN_1;
+ TESTING: PROCESS
+ BEGIN
+ FUN_1 <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01281 - Function calls are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01281arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1282.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1282.vhd
new file mode 100644
index 000000000..6f469e8d3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1282.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1282.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01282ent IS
+END c08s04b00x00p04n01i01282ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01282arch OF c08s04b00x00p04n01i01282ent IS
+ type INIT_1 is range 16#1# to 16#FF#;
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ INIT_1(S1) <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01282 - Type Conversions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01282arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1283.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1283.vhd
new file mode 100644
index 000000000..2fa27d811
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1283.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1283.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01283ent IS
+END c08s04b00x00p04n01i01283ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01283arch OF c08s04b00x00p04n01i01283ent IS
+ type INIT_1 is (ONE, TWO, THREE);
+ signal S1 : integer ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ INIT_1'(S1) <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01283 - Qualified expressions are not allowed on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01283arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1284.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1284.vhd
new file mode 100644
index 000000000..370c026b2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1284.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1284.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01284ent IS
+ port (X : in BIT; COUT : out BIT);
+END c08s04b00x00p04n01i01284ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01284arch OF c08s04b00x00p04n01i01284ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ X <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01284 - A port whose mode is "IN" or "LINKAGE" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01284arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1285.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1285.vhd
new file mode 100644
index 000000000..60fde4ad4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1285.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1285.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01285ent IS
+ port (X : in BIT; Z : linkage BIT; COUT : out BIT);
+END c08s04b00x00p04n01i01285ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01285arch OF c08s04b00x00p04n01i01285ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ Z <= S1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01285 - A port whose mode is "LINKAGE" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01285arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1286.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1286.vhd
new file mode 100644
index 000000000..766a07c96
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1286.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1286.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01286ent IS
+ port (X : in BIT_VECTOR; COUT : out BIT);
+ alias ALIAN_1 : BIT_VECTOR (1 to 10) is X (1 to 10);
+END c08s04b00x00p04n01i01286ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01286arch OF c08s04b00x00p04n01i01286ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ ALIAN_1 <= S1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01286 - An alias for a port whose mode is "IN" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01286arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1287.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1287.vhd
new file mode 100644
index 000000000..73d70b598
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1287.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1287.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01287ent IS
+END c08s04b00x00p04n01i01287ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01287arch OF c08s04b00x00p04n01i01287ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ unk <= transport '1' after 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01287 - Target of signal assignment statement is not a signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01287arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1288.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1288.vhd
new file mode 100644
index 000000000..54dd1164c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1288.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1288.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01288ent IS
+ port (X : in BIT_VECTOR; Z : linkage BIT_VECTOR; COUT : out BIT);
+ alias ALIAN_2 : BIT_VECTOR (1 to 10) is Z (1 to 10);
+END c08s04b00x00p04n01i01288ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01288arch OF c08s04b00x00p04n01i01288ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ ALIAN_2(10) <= S1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01288 - An alias for a port whose mode is "LINKAGE" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01288arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1289.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1289.vhd
new file mode 100644
index 000000000..de474b802
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1289.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1289.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01289ent IS
+ port (X : in BIT_VECTOR; COUT : out BIT);
+ alias ALIAN_1 : BIT_VECTOR (1 to 10) is X (1 to 10);
+END c08s04b00x00p04n01i01289ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01289arch OF c08s04b00x00p04n01i01289ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ ALIAN_1(2) <= S1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01289 - An alias for a port whose mode is "IN" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01289arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc129.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc129.vhd
new file mode 100644
index 000000000..8cdab816e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc129.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc129.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x01p04n02i00129ent IS
+END c04s03b02x01p04n02i00129ent;
+
+ARCHITECTURE c04s03b02x01p04n02i00129arch OF c04s03b02x01p04n02i00129ent IS
+ component A2
+ port (constant PT2: INTEGER); -- Failure_here
+ -- ERROR: the only object class allowed in a local port is signal.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x01p04n02i00129 - The only object class allowed is signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x01p04n02i00129arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1290.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1290.vhd
new file mode 100644
index 000000000..e54b163bc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1290.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1290.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01290ent IS
+ port (X : in BIT; COUT : out BIT);
+END c08s04b00x00p04n01i01290ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01290arch OF c08s04b00x00p04n01i01290ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ X(2) <= S1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01290 - A port whose mode is "IN" can not be on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01290arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1291.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1291.vhd
new file mode 100644
index 000000000..8a269ee45
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1291.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1291.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p04n01i01291ent IS
+END c08s04b00x00p04n01i01291ent;
+
+ARCHITECTURE c08s04b00x00p04n01i01291arch OF c08s04b00x00p04n01i01291ent IS
+ signal S1 : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ hr <= S1;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p04n01i01291 - A unit name (of a physical literal) cannot be the name used on the left-hand side of a signal assignment"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p04n01i01291arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1293.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1293.vhd
new file mode 100644
index 000000000..77e105648
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1293.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1293.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p05n01i01293ent IS
+END c08s04b00x00p05n01i01293ent;
+
+ARCHITECTURE c08s04b00x00p05n01i01293arch OF c08s04b00x00p05n01i01293ent IS
+ signal done : bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ done <= '1' after 10 ns,
+ '0' after 20 ns
+ '1' after 35 ns;
+ wait for 70 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p05n01i01293 - Waveform elements should be separated by commas."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p05n01i01293arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1295.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1295.vhd
new file mode 100644
index 000000000..9f4bd1616
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1295.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1295.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01295ent IS
+END c08s04b00x00p06n01i01295ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01295arch OF c08s04b00x00p06n01i01295ent IS
+ signal DID : bit;
+BEGIN
+ TESTING: PROCESS
+ variable NUM1 : bit;
+ BEGIN
+ NUM1 <= DID;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01295 - Signal assignment to variable is not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01295arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1296.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1296.vhd
new file mode 100644
index 000000000..5ff79e0fb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1296.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1296.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01296ent IS
+END c08s04b00x00p06n01i01296ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01296arch OF c08s04b00x00p06n01i01296ent IS
+ signal X1 : Bit;
+BEGIN
+ TESTING: PROCESS(X1)
+ variable NUM1 : Bit;
+ BEGIN
+ NUM1 <= X1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01296 - The target of a signal assignment can not be a variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01296arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1297.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1297.vhd
new file mode 100644
index 000000000..76785bfd8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1297.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1297.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01297ent IS
+END c08s04b00x00p06n01i01297ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01297arch OF c08s04b00x00p06n01i01297ent IS
+ signal X1 : BIT;
+ type q is ('0', '1');
+ signal q1 : q := '0';
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ q1 <= X1;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01297 - The waveform element assigned to a signal must be of the same base type as the signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01297arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1298.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1298.vhd
new file mode 100644
index 000000000..584ce4e4d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1298.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1298.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01298ent IS
+END c08s04b00x00p06n01i01298ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01298arch OF c08s04b00x00p06n01i01298ent IS
+ signal X : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ subtype q is integer range 1 to 10;
+ BEGIN
+ q <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01298 - The target of a signal assignment must be a signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01298arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc13.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc13.vhd
new file mode 100644
index 000000000..fe6dd235a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc13.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc13.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p02n01i00013ent IS
+END c04s02b00x00p02n01i00013ent;
+
+ARCHITECTURE c04s02b00x00p02n01i00013arch OF c04s02b00x00p02n01i00013ent IS
+ type T1 is array (positive range <>) of Integer;
+ subtype T2 is T1(2 to 10) -- Missing semicolon
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s02b00x00p02n01i00013 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p02n01i00013arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc130.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc130.vhd
new file mode 100644
index 000000000..271e5ae38
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc130.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc130.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x01p04n02i00130ent IS
+END c04s03b02x01p04n02i00130ent;
+
+ARCHITECTURE c04s03b02x01p04n02i00130arch OF c04s03b02x01p04n02i00130ent IS
+ component A3
+ port (variable PT3: BOOLEAN); -- Failure_here
+ -- ERROR: the only object class allowed in a local port list is signal.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x01p04n02i00130 - The only object class allowed is signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x01p04n02i00130arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1300.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1300.vhd
new file mode 100644
index 000000000..5619ecc49
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1300.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1300.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c08s04b00x00p06n01i01300pkg is
+ function FUN_1 return TIME;
+end c08s04b00x00p06n01i01300pkg;
+
+package body c08s04b00x00p06n01i01300pkg is
+ function FUN_1 return TIME is
+ begin
+ return 1 min;
+ end FUN_1;
+end c08s04b00x00p06n01i01300pkg;
+
+ENTITY c08s04b00x00p06n01i01300ent IS
+END c08s04b00x00p06n01i01300ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01300arch OF c08s04b00x00p06n01i01300ent IS
+ signal X : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ c08s04b00x00p06n01i01300pkg <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01300 - Package name can not be used on left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01300arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1301.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1301.vhd
new file mode 100644
index 000000000..9f04bcaae
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1301.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1301.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01301ent IS
+END c08s04b00x00p06n01i01301ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01301arch OF c08s04b00x00p06n01i01301ent IS
+ signal X : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ ch0804_par00601_08_ent <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01301 - A desing entityname can not be used on left-hand side of a singal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01301arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1302.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1302.vhd
new file mode 100644
index 000000000..220598244
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1302.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1302.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01302ent IS
+END c08s04b00x00p06n01i01302ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01302arch OF c08s04b00x00p06n01i01302ent IS
+ signal X : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ ch0804_par00601_09_arch <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01302 - the name of a body declaration can not appear on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01302arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1303.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1303.vhd
new file mode 100644
index 000000000..babf30c5f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1303.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1303.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01303ent IS
+END c08s04b00x00p06n01i01303ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01303arch OF c08s04b00x00p06n01i01303ent IS
+ signal X : integer := 5;
+ type INIT_1 is range 1 to 1000;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ INIT_1 <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01303 - A type name can not used on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01303arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1304.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1304.vhd
new file mode 100644
index 000000000..3cdfd5a0a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1304.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1304.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01304ent IS
+END c08s04b00x00p06n01i01304ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01304arch OF c08s04b00x00p06n01i01304ent IS
+ signal X : integer := 5;
+ type INIT_1 is range 1 to 1000;
+ subtype SUBI_1 is INIT_1 range 10 to 20;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ SUBI_1 <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01304 - A subtype name can not used on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01304
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1305.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1305.vhd
new file mode 100644
index 000000000..49b24dc8c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1305.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1305.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p06n01i01305ent IS
+END c08s04b00x00p06n01i01305ent;
+
+ARCHITECTURE c08s04b00x00p06n01i01305arch OF c08s04b00x00p06n01i01305ent IS
+ component COMP_1
+ port (A: in BIT; D : out BIT);
+ end component;
+ signal X : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ COMP_1 <= X;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p06n01i01305 - A component name can not used on the left-hand side of a signal assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p06n01i01305arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1308.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1308.vhd
new file mode 100644
index 000000000..de0b3a0d2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1308.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1308.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n01i01308ent IS
+END c08s04b00x00p07n01i01308ent;
+
+ARCHITECTURE c08s04b00x00p07n01i01308arch OF c08s04b00x00p07n01i01308ent IS
+ signal S : BIT;
+ signal T : BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ (S,T) <= ('1','0') after 10 ns;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n01i01308 - If the target of the signal assignment statement is in the form of an aggregate, then the type of the aggregate must be determinable from the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n01i01308arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1311.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1311.vhd
new file mode 100644
index 000000000..5e4ed8d64
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1311.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1311.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n02i01311ent IS
+END c08s04b00x00p07n02i01311ent;
+
+ARCHITECTURE c08s04b00x00p07n02i01311arch OF c08s04b00x00p07n02i01311ent IS
+ type sigrec is
+ record
+ A1 : bit;
+ A2 : integer;
+ A3 : character;
+ A4 : boolean;
+ end record;
+ signal S1 : bit;
+ signal S2 : integer;
+ signal S3 : character;
+ signal S4 : boolean;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ (S1, S2, S3, S4) <= sigrec'('1', 1.2, '1', true);
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n02i01311 - Base type of waveform element is not the same as the base type of the signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n02i01311arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1312.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1312.vhd
new file mode 100644
index 000000000..d9e737c42
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1312.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1312.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n03i01312ent IS
+END c08s04b00x00p07n03i01312ent;
+
+ARCHITECTURE c08s04b00x00p07n03i01312arch OF c08s04b00x00p07n03i01312ent IS
+ type BIT_VECTOR is array (natural range <>) of bit;
+ type INDEX is range 3 downto 0;
+ subtype BVI is BIT_VECTOR(INDEX);
+ signal S : BVI;
+BEGIN
+ TESTING: PROCESS
+ variable k : Index;
+ BEGIN
+ (S(3), S(k), S(1), S(0)) <= BVI'('1', others => '0');
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n03i01312 - The expression in the element association is not locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n03i01312arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1313.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1313.vhd
new file mode 100644
index 000000000..e351084ad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1313.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1313.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n03i01313ent IS
+END c08s04b00x00p07n03i01313ent;
+
+ARCHITECTURE c08s04b00x00p07n03i01313arch OF c08s04b00x00p07n03i01313ent IS
+ subtype BV2 is BIT_VECTOR(0 to 1);
+ signal S : BV2;
+ signal T : BV2;
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BV2 := B"11";
+ variable I : integer := 1;
+ BEGIN
+ (S(I), T(I)) <= BITV after 5 ns;
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n03i01313 - The expression in the element association is not locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n03i01313arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1314.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1314.vhd
new file mode 100644
index 000000000..c7101b103
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1314.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1314.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n03i01314ent IS
+ generic (GEN : in INTEGER);
+END c08s04b00x00p07n03i01314ent;
+
+ARCHITECTURE c08s04b00x00p07n03i01314arch OF c08s04b00x00p07n03i01314ent IS
+ subtype BV2 is BIT_VECTOR(0 to 1);
+ signal S : BV2;
+ signal T : BV2;
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BV2 := B"11";
+ BEGIN
+ (S(GEN), T(GEN)) <= BITV after 5 ns;
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n03i01314 - The expression in the element association is not locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n03i01314arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1315.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1315.vhd
new file mode 100644
index 000000000..a43bdedc3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1315.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1315.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p07n03i01315ent IS
+ generic (GEN : in INTEGER);
+END c08s04b00x00p07n03i01315ent;
+
+ARCHITECTURE c08s04b00x00p07n03i01315arch OF c08s04b00x00p07n03i01315ent IS
+ subtype CH2 is STRING( 1 to 2 );
+BEGIN
+ TESTING: PROCESS
+ variable STRV : CH2 := "bb";
+ variable C1, C2 : CHARACTER;
+ BEGIN
+ -- Assign to a non-signal. ERROR:
+ ( C1,C2 ) <= STRV after 20 ns;
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p07n03i01315 - The expression in the element association is not locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p07n03i01315arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1319.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1319.vhd
new file mode 100644
index 000000000..33ad31ee1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1319.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1319.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p08n01i01319ent IS
+END c08s04b00x00p08n01i01319ent;
+
+ARCHITECTURE c08s04b00x00p08n01i01319arch OF c08s04b00x00p08n01i01319ent IS
+ type aggsig is array (1 to 4) of bit;
+ signal S : aggsig;
+ signal S1 : bit;
+ signal S2 : bit;
+ signal S3 : bit;
+ signal S4 : bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S <= (bit'('0'), bit'('1'), bit'('0'),bit'('1'));
+ (S1, S2, S1, S4) <= S;
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p08n01i01319 - Signal is identified as target more than once in the same assignment."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p08n01i01319arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc132.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc132.vhd
new file mode 100644
index 000000000..627039617
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc132.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc132.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p04n01i00132ent IS
+ port (
+ A1 : in Bit;
+ A2 : inout Bit;
+ A3 : linkage Bit;
+ A4 : out Bit;
+ A5 : Buffer Bit
+ ) ;
+END c04s03b02x02p04n01i00132ent;
+
+ARCHITECTURE c04s03b02x02p04n01i00132arch OF c04s03b02x02p04n01i00132ent IS
+ component Local
+ port (
+ C1 : in Bit;
+ C2 : inout Bit;
+ C3 : linkage Bit;
+ C4 : out Bit;
+ C5 : Buffer Bit
+ );
+ end component;
+BEGIN
+ CLSI : Local port map
+ (open => A1, open => A2, open => A3, open => A4, open => A5);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p04n01i00132 - Open is not a valid formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p04n01i00132arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1320.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1320.vhd
new file mode 100644
index 000000000..85403bc7a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1320.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1320.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b00x00p08n03i01320ent IS
+END c08s04b00x00p08n03i01320ent;
+
+ARCHITECTURE c08s04b00x00p08n03i01320arch OF c08s04b00x00p08n03i01320ent IS
+ type BIT_VECTOR is array (natural range <>) of bit;
+ subtype BVI is BIT_VECTOR(0 to 31);
+ signal S : BVI;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ (S(0 to 5), S(6), S(7 to 7)) <= BVI'(0 to 5 => '0', 6 => '1', others => '0');
+ assert FALSE
+ report "***FAILED TEST: c08s04b00x00p08n03i01320 - The expression in element association can not be a discrete range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b00x00p08n03i01320arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1324.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1324.vhd
new file mode 100644
index 000000000..14334e98a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1324.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1324.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p02n01i01324ent IS
+END c08s04b01x00p02n01i01324ent;
+
+ARCHITECTURE c08s04b01x00p02n01i01324arch OF c08s04b01x00p02n01i01324ent IS
+ signal k : BIT ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= '1' aftre 10 ns;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p02n01i01324 - The reserved word 'after' is misspelled in the after clause"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p02n01i01324arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1325.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1325.vhd
new file mode 100644
index 000000000..b78eee468
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1325.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1325.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p02n01i01325ent IS
+END c08s04b01x00p02n01i01325ent;
+
+ARCHITECTURE c08s04b01x00p02n01i01325arch OF c08s04b01x00p02n01i01325ent IS
+ signal k : BIT ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= nul after 10 ns;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p02n01i01325 - The reserved word 'null' is misspelled"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p02n01i01325arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1326.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1326.vhd
new file mode 100644
index 000000000..8fd0ef956
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1326.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1326.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p02n01i01326ent IS
+END c08s04b01x00p02n01i01326ent;
+
+ARCHITECTURE c08s04b01x00p02n01i01326arch OF c08s04b01x00p02n01i01326ent IS
+ signal k : BIT ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= '1' 10 ns;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p02n01i01326 - The reserved word 'after' is missing in the after clause"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p02n01i01326arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1329.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1329.vhd
new file mode 100644
index 000000000..0ddcc4198
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1329.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1329.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p03n05i01329ent IS
+END c08s04b01x00p03n05i01329ent;
+
+ARCHITECTURE c08s04b01x00p03n05i01329arch OF c08s04b01x00p03n05i01329ent IS
+ signal S1, S2, S3 : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S3 <= S1 after 10 ns, null after 100 ns, S2 after 150 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p03n05i01329 - Null waveform can not be assigned to unguarded signals."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p03n05i01329arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1330.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1330.vhd
new file mode 100644
index 000000000..ab4b8dab5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1330.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1330.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p04n01i01330ent IS
+ port (clock : out bit);
+END c08s04b01x00p04n01i01330ent;
+
+ARCHITECTURE c08s04b01x00p04n01i01330arch OF c08s04b01x00p04n01i01330ent IS
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ clock <= '1' after 10 ns,
+ '0' after 20 ns,
+ '1' after 30 ns,
+ '0' after X,
+ '1' after 70 ns;
+ wait for 80 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p04n01i01330 - Time expression must be of predefined type TIME as defined in package STANDARD."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p04n01i01330arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1333.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1333.vhd
new file mode 100644
index 000000000..2205fd305
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1333.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1333.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p04n03i01333ent IS
+END c08s04b01x00p04n03i01333ent;
+
+ARCHITECTURE c08s04b01x00p04n03i01333arch OF c08s04b01x00p04n03i01333ent IS
+ signal S : Bit;
+BEGIN
+ TESTING: PROCESS
+ constant t1 : time := 10 ns;
+ constant t2 : time := 100 ns;
+ BEGIN
+ S <= '0' after (t1 - t2);
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p04n03i01333 - Time expression must be positive"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p04n03i01333arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1334.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1334.vhd
new file mode 100644
index 000000000..1d4b822db
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1334.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1334.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p04n03i01334ent IS
+END c08s04b01x00p04n03i01334ent;
+
+ARCHITECTURE c08s04b01x00p04n03i01334arch OF c08s04b01x00p04n03i01334ent IS
+ signal S : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S <= '0' after -5 ns;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p04n03i01334 - Time expression must be positive"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p04n03i01334arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1345.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1345.vhd
new file mode 100644
index 000000000..3244d49f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1345.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1345.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p06n05i01345ent IS
+END c08s04b01x00p06n05i01345ent;
+
+ARCHITECTURE c08s04b01x00p06n05i01345arch OF c08s04b01x00p06n05i01345ent IS
+ signal k : integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= 1 after 10 ns,
+ 2 after 20 ns,
+ 3 after 30 ns,
+ 2 after 20 ns,
+ 4 after 40 ns,
+ 5 after 50 ns;
+ wait for 80 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p06n05i01345 - The sequence of new transactions must be in ascending order with respect to time."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p06n05i01345arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1346.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1346.vhd
new file mode 100644
index 000000000..bb09cecc7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1346.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1346.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s04b01x00p06n05i01346ent IS
+END c08s04b01x00p06n05i01346ent;
+
+ARCHITECTURE c08s04b01x00p06n05i01346arch OF c08s04b01x00p06n05i01346ent IS
+ signal k : integer;
+ signal c : integer := 5;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= c after 5 ns, 5 after 5 ns;
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c08s04b01x00p06n05i01346 - Multiple time expressions with same value in one waveform are not permitted."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s04b01x00p06n05i01346arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1351.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1351.vhd
new file mode 100644
index 000000000..284130f36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1351.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1351.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p02n01i01351ent IS
+END c08s05b00x00p02n01i01351ent;
+
+ARCHITECTURE c08s05b00x00p02n01i01351arch OF c08s05b00x00p02n01i01351ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function check (x : integer) return integer is
+ begin
+ return (10 * x);
+ end;
+ variable k : integer := 0;
+ variable p : integer := 12;
+ BEGIN
+ check(k) := check(p) + 24;
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p02n01i01351 - Target of a variable assignment can only be a name or an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p02n01i01351arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1352.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1352.vhd
new file mode 100644
index 000000000..3d5528454
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1352.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1352.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p02n01i01352ent IS
+END c08s05b00x00p02n01i01352ent;
+
+ARCHITECTURE c08s05b00x00p02n01i01352arch OF c08s05b00x00p02n01i01352ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ (0, 0, 0) := (0, 0, 0);
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p02n01i01352 - Target of a variable assignment can only be a name or an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p02n01i01352arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1353.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1353.vhd
new file mode 100644
index 000000000..ee5976ef4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1353.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1353.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p02n01i01353ent IS
+END c08s05b00x00p02n01i01353ent;
+
+ARCHITECTURE c08s05b00x00p02n01i01353arch OF c08s05b00x00p02n01i01353ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable a,b : integer;
+ BEGIN
+ (a + b) := 10;
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p02n01i01353 - Target of a variable assignment can only be a name or an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p02n01i01353arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1355.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1355.vhd
new file mode 100644
index 000000000..e521a5631
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1355.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1355.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01355ent IS
+END c08s05b00x00p03n01i01355ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01355arch OF c08s05b00x00p03n01i01355ent IS
+
+BEGIN
+ BL : block
+ begin
+ L2 : for I in 1 to 3 generate
+ TESTING: PROCESS
+ BEGIN
+ I := I + 1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01355 - The name of thetarget of the variable assignment statement must denote a variable"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+ end generate;
+ end block;
+
+END c08s05b00x00p03n01i01355arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1357.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1357.vhd
new file mode 100644
index 000000000..bf288c55e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1357.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1357.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01357ent IS
+END c08s05b00x00p03n01i01357ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01357arch OF c08s05b00x00p03n01i01357ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 12;
+ variable r : boolean;
+ BEGIN
+ r := i;
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01357 - Target and the expression on the right-hand side should have the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01357arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1358.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1358.vhd
new file mode 100644
index 000000000..551baff78
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1358.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1358.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01358ent IS
+END c08s05b00x00p03n01i01358ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01358arch OF c08s05b00x00p03n01i01358ent IS
+
+ signal s : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 12;
+ BEGIN
+ s := i;
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01358 - Target of a variable assignment is not a variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01358arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1376.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1376.vhd
new file mode 100644
index 000000000..0be6b8f3d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1376.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1376.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01376ent IS
+END c08s05b00x00p03n01i01376ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01376arch OF c08s05b00x00p03n01i01376ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ type type1 is range 1 to 10;
+ type type2 is range 1 to 10;
+
+ variable v1 : type1 := 1;
+ variable v2 : type2 := 1;
+
+ BEGIN
+ --
+ -- The following variable assignment is illegal and
+ -- should generate a type mis-match error.
+ --
+ v1 := v2; -- mismatched types
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01376 - Named variable and right-hand side expression type mismatched."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01376arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1377.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1377.vhd
new file mode 100644
index 000000000..7f484a15a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1377.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1377.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01377ent IS
+END c08s05b00x00p03n01i01377ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01377arch OF c08s05b00x00p03n01i01377ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type type1 is range 1 to 10;
+
+ variable v1 : type1 := 1;
+ BEGIN
+ --
+ -- The following variable assignment is illegal and
+ -- should generate an out-of-range error.
+ --
+ v1 := 0; -- zero is out of range
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01377 - Right-hand-side expression is out of range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01377arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1378.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1378.vhd
new file mode 100644
index 000000000..70c1496c0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1378.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1378.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01378ent IS
+END c08s05b00x00p03n01i01378ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01378arch OF c08s05b00x00p03n01i01378ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type type1 is range 1 to 10;
+
+ variable v1 : type1 := 1;
+ BEGIN
+ --
+ -- The following variable assignment is illegal and
+ -- should generate a type mis-match error.
+ --
+ v1 := 1.0; -- mismatched types
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01378 - Right-hand-side expression type did not match the named variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01378arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1379.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1379.vhd
new file mode 100644
index 000000000..a96a162ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1379.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1379.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01379ent IS
+END c08s05b00x00p03n01i01379ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01379arch OF c08s05b00x00p03n01i01379ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable v1, v2 : integer := 0;
+
+ function add (v1, v2 : integer) return integer is
+ begin
+ return v1 + v2;
+ end add;
+ BEGIN
+
+ v1 := 1;
+ add := v1 + v2; -- illegal assignment to function name
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01379 - Target of a variable assignment can not be an operator name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01379arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1380.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1380.vhd
new file mode 100644
index 000000000..cd3bf2314
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1380.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1380.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01380ent IS
+END c08s05b00x00p03n01i01380ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01380arch OF c08s05b00x00p03n01i01380ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable t1 : time := 100 ns;
+ BEGIN
+
+ sec := t1; -- illegal assignment to time unit
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01380 - Target of a variable assignment can not be a unit name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01380arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1381.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1381.vhd
new file mode 100644
index 000000000..50a919e68
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1381.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1381.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c08s05b00x00p03n01i01381pkg is
+ type base_type is ( 'B', 'O', 'X', 'b', 'o', 'x' );
+end ch0805_p00301_27_pkg;
+
+use work.c08s05b00x00p03n01i01381pkg.all;
+ENTITY c08s05b00x00p03n01i01381ent IS
+END c08s05b00x00p03n01i01381ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01381arch OF c08s05b00x00p03n01i01381ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable v1 : integer := 0;
+ BEGIN
+
+ pack := v1; -- illegal package name target
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01381 - Target of a variable assignment can not be the name of a package."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01381arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1382.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1382.vhd
new file mode 100644
index 000000000..8d665cb7f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1382.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1382.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01382ent IS
+END c08s05b00x00p03n01i01382ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01382arch OF c08s05b00x00p03n01i01382ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable v1 : integer := 0;
+ BEGIN
+
+ ch0805_p00301_28_ent := v1; -- illegal name target
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01382 - Target of a variable assignment can not be the name of a design entity."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01382arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1383.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1383.vhd
new file mode 100644
index 000000000..2ac90da23
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1383.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1383.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01383ent IS
+END c08s05b00x00p03n01i01383ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01383arch OF c08s05b00x00p03n01i01383ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable v1 : integer := 0;
+ BEGIN
+
+ ch0805_p00301_29_arch := v1; -- illegal name target
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01383 - Target of a variable assignment can not be the name of an architecture body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01383arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1384.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1384.vhd
new file mode 100644
index 000000000..8cceaebdf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1384.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1384.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01384ent IS
+END c08s05b00x00p03n01i01384ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01384arch OF c08s05b00x00p03n01i01384ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type small_int is range 0 to 7;
+ variable v1 : small_int := 0;
+ BEGIN
+
+ small_int := v1; -- illegal type name target
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01384 - Target of a variable assignment can not be the name of a type name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01384arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1385.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1385.vhd
new file mode 100644
index 000000000..0593ed492
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1385.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1385.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p03n01i01385ent IS
+END c08s05b00x00p03n01i01385ent;
+
+ARCHITECTURE c08s05b00x00p03n01i01385arch OF c08s05b00x00p03n01i01385ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype small_int is range 0 to 7;
+ variable v1 : small_int := 0;
+ BEGIN
+
+ small_int := v1; -- illegal type name target
+
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p03n01i01385 - Target of a variable assignment can not be the name of a subtype name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p03n01i01385arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1388.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1388.vhd
new file mode 100644
index 000000000..59317bd35
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1388.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1388.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p04n02i01388ent IS
+END c08s05b00x00p04n02i01388ent;
+
+ARCHITECTURE c08s05b00x00p04n02i01388arch OF c08s05b00x00p04n02i01388ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 16) of integer;
+ variable k : A1;
+ BEGIN
+ k (1 to 4) := (4.0, 3.0, 2.0, 1.0);
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p04n02i01388 - Base types of variable and expression do not match."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p04n02i01388arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc139.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc139.vhd
new file mode 100644
index 000000000..7d3c2cb53
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc139.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc139.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p09n01i00139ent IS
+END c04s03b02x02p09n01i00139ent;
+
+ARCHITECTURE c04s03b02x02p09n01i00139arch OF c04s03b02x02p09n01i00139ent IS
+ procedure P1 (p : in integer := 3; r: inout integer) is
+ begin
+ r := p / 3 ;
+ end;
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ BEGIN
+ P1 (x); -- Failure_here
+ -- named association missing.
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p09n01i00139 - The actual list for procedure call does not match the formal list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p09n01i00139arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1391.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1391.vhd
new file mode 100644
index 000000000..bec02551e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1391.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1391.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p04n03i01391ent IS
+END c08s05b00x00p04n03i01391ent;
+
+ARCHITECTURE c08s05b00x00p04n03i01391arch OF c08s05b00x00p04n03i01391ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ARR is array(0 to 1) of bit;
+ variable i : integer := 1;
+ variable j : integer := 2;
+ variable S : BIT_VECTOR(0 to 1);
+ variable T : BIT_VECTOR(0 to 2);
+ BEGIN
+ (S(i),T(j)) := ARR'('0','1');
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p04n03i01391 - Each element association of the aggregate must be a locally static name that denotes a variable"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p04n03i01391arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1395.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1395.vhd
new file mode 100644
index 000000000..8c5f4ad55
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1395.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1395.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p05n02i01395ent IS
+END c08s05b00x00p05n02i01395ent;
+
+ARCHITECTURE c08s05b00x00p05n02i01395arch OF c08s05b00x00p05n02i01395ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 2) of integer;
+ variable XC1, XC2 : A1;
+ BEGIN
+ XC1 := (1 => 1, 2 => 2);
+ (XC2(1), XC2(1)):= A1'(XC1);
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p05n02i01395 - The same element is being assigned a value by more than one association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p05n02i01395arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1396.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1396.vhd
new file mode 100644
index 000000000..b360012ae
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1396.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1396.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p06n01i01396ent IS
+END c08s05b00x00p06n01i01396ent;
+
+ARCHITECTURE c08s05b00x00p06n01i01396arch OF c08s05b00x00p06n01i01396ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ k := (1.0 + 2.0);
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p06n01i01396 - The variable and assigned expression must be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p06n01i01396arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1397.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1397.vhd
new file mode 100644
index 000000000..c61d14264
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1397.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1397.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p06n01i01397ent IS
+END c08s05b00x00p06n01i01397ent;
+
+ARCHITECTURE c08s05b00x00p06n01i01397arch OF c08s05b00x00p06n01i01397ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ k := '0';
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p06n01i01397 - The variable and assigned expression must be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p06n01i01397arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1398.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1398.vhd
new file mode 100644
index 000000000..a1a669726
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1398.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1398.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY ch0805_p00601_04_03_ent IS
+END ch0805_p00601_04_03_ent;
+
+ARCHITECTURE ch0805_p00601_04_03_arch OF ch0805_p00601_04_03_ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype a is integer range 1 to 10;
+ variable k : integer := 5;
+ BEGIN
+ a := k;
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p06n01i01398 - If the target of a variable assignment statement is a name, then the name must denote a variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p06n01i01398arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc140.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc140.vhd
new file mode 100644
index 000000000..b458bcfd1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc140.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc140.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p09n01i00140ent IS
+ PORT ( SIGNAL a : IN bit;
+ SIGNAL b : IN integer;
+ SIGNAL c : IN boolean;
+ SIGNAL d : IN time;
+ SIGNAL e : IN real;
+ SIGNAL oint : INOUT integer);
+END c04s03b02x02p09n01i00140ent;
+
+ARCHITECTURE c04s03b02x02p09n01i00140arch OF c04s03b02x02p09n01i00140ent IS
+ function funct1( fpar1:bit :='1';
+ fpar2:integer :=455;
+ fpar3:boolean :=true;
+ fpar4:time :=55.77 ns;
+ fpar5:real :=34.558) return integer is
+ begin
+ return 1;
+ end funct1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 1 ns;
+ oint <= funct1(fpar3=>c,fpar2=>b,fpar1=>a,fpar4=>d,nosuch=>e);
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p09n01i00140 - Named association parameter where name is not in formal parameter list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p09n01i00140arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1406.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1406.vhd
new file mode 100644
index 000000000..1b72a5122
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1406.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1406.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b00x00p07n01i01406ent IS
+END c08s05b00x00p07n01i01406ent;
+
+ARCHITECTURE c08s05b00x00p07n01i01406arch OF c08s05b00x00p07n01i01406ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type arr is array (1 to 3) of integer;
+ variable p : arr;
+ BEGIN
+ p := (1=>3, 2=>2.3, 3=>3);
+ assert FALSE
+ report "***FAILED TEST: c08s05b00x00p07n01i01406 - Type of the subelement does not match the type of the aggregate element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b00x00p07n01i01406arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1407.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1407.vhd
new file mode 100644
index 000000000..b1ee38935
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1407.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1407.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01407ent IS
+END c08s05b01x00p01n01i01407ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01407arch OF c08s05b01x00p01n01i01407ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable B : Bit_vector (0 to 10) := B"01010010101";
+ BEGIN
+ B(1 to 0) := B"01";
+ B(4 to 2) := B"101";
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01407 - Every element of the array variable should have a matching element in the array value and vice versa."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01407arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1408.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1408.vhd
new file mode 100644
index 000000000..72b04fc07
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1408.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1408.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01408ent IS
+END c08s05b01x00p01n01i01408ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01408arch OF c08s05b01x00p01n01i01408ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 15) of integer;
+ variable XC : A1;
+ BEGIN
+ XC (4 to 1) := (4,3,2,1);
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01408 - the type of the target and the value assigned to the target in an array variable assignment statement must be the same."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01408arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1411.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1411.vhd
new file mode 100644
index 000000000..05c29026b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1411.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1411.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01411ent IS
+END c08s05b01x00p01n01i01411ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01411arch OF c08s05b01x00p01n01i01411ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 15) of integer;
+ variable XC : A1;
+ BEGIN
+ XC (4 to 1) := 4321;
+ assert FALSE
+ report "***PASSED TEST: c08s05b01x00p01n01i01411"
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01411arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1415.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1415.vhd
new file mode 100644
index 000000000..5548a9b0e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1415.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1415.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01415ent IS
+END c08s05b01x00p01n01i01415ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01415arch OF c08s05b01x00p01n01i01415ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BIT_VECTOR is array (natural range <>) of BIT;
+ type A01_VECTOR is array (natural range <>) of BIT;
+ variable NUM1 : BIT_VECTOR(0 to 1);
+ variable NUM2 : A01_VECTOR(0 to 1);
+ BEGIN
+ NUM1 := NUM2;
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01415 - The type of the target and the value assigned to the target in an array variable assignment must be the same."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01415arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1416.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1416.vhd
new file mode 100644
index 000000000..ff2e9e70e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1416.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1416.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01416ent IS
+END c08s05b01x00p01n01i01416ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01416arch OF c08s05b01x00p01n01i01416ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ARAY_1 is array (INTEGER range <>) of BIT;
+ subtype SUB_ONE is ARAY_1 (1 to 10);
+ subtype SUB_TWO is ARAY_1 (1 to 100);
+ subtype SUB_THREE is ARAY_1 (41 to 60);
+ variable V1 : SUB_ONE;
+ variable V2 : SUB_TWO;
+ variable V3 : SUB_THREE;
+ BEGIN
+ V1 := V3;
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01416 - The number of components has to be the same."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01416arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1417.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1417.vhd
new file mode 100644
index 000000000..ee1fab065
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1417.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1417.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01417ent IS
+END c08s05b01x00p01n01i01417ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01417arch OF c08s05b01x00p01n01i01417ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable B : Bit_vector (0 to 10) := B"01010010101";
+ BEGIN
+ B(1 to 0) := B"01" ;
+ B(4 to 2) := B"101"; -- non-null assignments cannot be made
+ -- to null slices.
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01417 - Every element of the array variable should have a matching element in the array value and vice versa."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01417arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1418.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1418.vhd
new file mode 100644
index 000000000..cc92a039e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1418.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1418.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s05b01x00p01n01i01418ent IS
+END c08s05b01x00p01n01i01418ent;
+
+ARCHITECTURE c08s05b01x00p01n01i01418arch OF c08s05b01x00p01n01i01418ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 15) of integer;
+ variable XC : A1;
+ BEGIN
+ XC (4 to 1) := (4, 3, 2, 1); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c08s05b01x00p01n01i01418 - The type of the target and the value assigned to the target in an array variable assignment statement must be the same."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c08s05b01x00p01n01i01418arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1419.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1419.vhd
new file mode 100644
index 000000000..841ab9e2c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1419.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1419.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p02n01i01419ent IS
+END c08s06b00x00p02n01i01419ent;
+
+ARCHITECTURE c08s06b00x00p02n01i01419arch OF c08s06b00x00p02n01i01419ent IS
+
+BEGIN
+ TESTING: PROCESS
+ signal some : integer := 12;
+ signal p : integer := 3;
+ signal q : boolean := true;
+ BEGIN
+ some (p,q);
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p02n01i01419 - A name that is not a procedure is used in a procedure call statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p02n01i01419arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc142.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc142.vhd
new file mode 100644
index 000000000..65ef5440c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc142.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc142.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p10n01i00142ent IS
+ PORT ( SIGNAL a : IN bit;
+ SIGNAL b : IN integer;
+ SIGNAL c : IN boolean;
+ SIGNAL d : IN time;
+ SIGNAL e : IN real;
+ SIGNAL oint : INOUT integer);
+END c04s03b02x02p10n01i00142ent;
+
+ARCHITECTURE c04s03b02x02p10n01i00142arch OF c04s03b02x02p10n01i00142ent IS
+ function funct1( fpar1:bit :='1';
+ fpar2:integer :=455;
+ fpar3:boolean :=true;
+ fpar4:time :=55.77 ns;
+ fpar5:real :=34.558) return integer is
+ begin
+ return 1;
+ end funct1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 1 ns;
+ oint <= funct1(fpar3=>c,fpar2=>b,fpar1=>a,d,e);
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p10n01i00142 - Positional association can not follow named association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p10n01i00142arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1420.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1420.vhd
new file mode 100644
index 000000000..5f0979c4e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1420.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1420.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p02n01i01420ent IS
+END c08s06b00x00p02n01i01420ent;
+
+ARCHITECTURE c08s06b00x00p02n01i01420arch OF c08s06b00x00p02n01i01420ent IS
+ signal some : integer := 12;
+BEGIN
+ TESTING: PROCESS
+ procedure check (x : in integer; y : out boolean) is
+ begin
+ if x = 1 then
+ y := true;
+ else
+ y := false;
+ end if;
+ end;
+ variable p : integer := 3;
+ variable q : boolean := true;
+ BEGIN
+ some (p,q);
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p02n01i01420 - Incorrect procedure call, procedure 'some' does not exist."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p02n01i01420arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1426.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1426.vhd
new file mode 100644
index 000000000..391b9cb18
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1426.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1426.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p05n01i01426ent IS
+END c08s06b00x00p05n01i01426ent;
+
+ARCHITECTURE c08s06b00x00p05n01i01426arch OF c08s06b00x00p05n01i01426ent IS
+
+ procedure copy_int ( variable src, dest : inout integer ) is
+ --
+ -- This procedure copies the value of the first argument
+ -- into the second argument.
+ --
+ begin
+ dest := src;
+ end copy_int;
+
+
+BEGIN
+ TESTING : PROCESS
+ variable v1,v2 : integer := 0;
+ BEGIN
+
+ --
+ -- Try calling the procedure with three arguments
+ --
+ v1 := 5;
+ copy_int(v1, v2, 5); -- too many arguments
+
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p05n01i01426 - Procedure call without an actual parameter part is permitted."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p05n01i01426arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1427.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1427.vhd
new file mode 100644
index 000000000..ac45faed0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1427.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1427.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p06n01i01427ent IS
+END c08s06b00x00p06n01i01427ent;
+
+ARCHITECTURE c08s06b00x00p06n01i01427arch OF c08s06b00x00p06n01i01427ent IS
+ procedure check(x : in integer; y : in boolean) is
+ begin
+ end;
+ signal k : real;
+ signal q : boolean;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L1 : check(k,q);
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p06n01i01427 - The parameters in the procedure declaration and the corresponding arguments in the procedure call are not of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p06n01i01427arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1428.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1428.vhd
new file mode 100644
index 000000000..74d2bf8a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1428.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1428.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p06n01i01428ent IS
+END c08s06b00x00p06n01i01428ent;
+
+ARCHITECTURE c08s06b00x00p06n01i01428arch OF c08s06b00x00p06n01i01428ent IS
+ procedure check(x : in integer; y : in boolean) is
+ begin
+ end;
+ signal k : real;
+ signal q : boolean;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L1 : check(k,q);
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p06n01i01428 - Type of argument incompatible with type of parameter"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p06n01i01428arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1429.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1429.vhd
new file mode 100644
index 000000000..d93bd632f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1429.vhd
@@ -0,0 +1,73 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1429.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p06n01i01429ent IS
+END c08s06b00x00p06n01i01429ent;
+
+ARCHITECTURE c08s06b00x00p06n01i01429arch OF c08s06b00x00p06n01i01429ent IS
+
+ --
+ -- Define two different types with the same value sets
+ --
+ type int_type1 is range 0 to 7;
+ type int_type2 is range 0 to 7;
+
+ --
+ -- and a procedure to use one of the types
+ --
+ procedure copy_int ( variable src, dest : inout int_type1
+ ) is
+ --
+ -- This procedure just copies one argument's value to the other
+ --
+ begin
+ dest := src;
+ end copy_int;
+
+BEGIN
+ TESTING: PROCESS
+
+ variable v1 : int_type1 := 0;
+ variable v2 : int_type2 := 0;
+
+ BEGIN
+ --
+ -- Make a procedure call where the arguments do not match
+ -- the types declared in the definition.
+ --
+ copy_int(v1, v2); -- v2 : type mismatch
+
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p06n01i01429 - Type of argument incompatible with type of parameter"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p06n01i01429arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1430.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1430.vhd
new file mode 100644
index 000000000..3f3df45ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1430.vhd
@@ -0,0 +1,75 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1430.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s06b00x00p06n01i01430ent IS
+END c08s06b00x00p06n01i01430ent;
+
+ARCHITECTURE c08s06b00x00p06n01i01430arch OF c08s06b00x00p06n01i01430ent IS
+
+ --
+ -- Define two different types with the same value sets
+ --
+ type int_type1 is range 0 to 7;
+ type int_type2 is range 0 to 7;
+
+ --
+ -- and a procedure to use one of the types
+ --
+ procedure copy_int ( variable src : in int_type1;
+ variable dest : inout int_type2
+ ) is
+ --
+ -- This procedure just copies one argument's value to the other
+ -- after doing a type conversion.
+ --
+ begin
+ dest := int_type2(src);
+ end copy_int;
+
+BEGIN
+ TESTING: PROCESS
+
+ variable v1 : int_type1 := 0;
+ variable v2 : int_type2 := 0;
+
+ BEGIN
+ --
+ -- Make a procedure call where the arguments do not match
+ -- the types declared in the definition.
+ --
+ copy_int(v2, v1); -- v2 : type mismatch
+
+ assert FALSE
+ report "***FAILED TEST: c08s06b00x00p06n01i01430 - Type of argument incompatible with type of parameter"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s06b00x00p06n01i01430arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1431.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1431.vhd
new file mode 100644
index 000000000..37b10328c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1431.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1431.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01431ent IS
+END c08s07b00x00p02n01i01431ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01431arch OF c08s07b00x00p02n01i01431ent IS
+
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+
+ begin
+ -- Misspelled reserved word 'if'
+ fi (I = 47) then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01431 - misspelled reserved word 'if'"
+ severity ERROR;
+ wait;
+ end process TEST_PROCESS;
+
+END c08s07b00x00p02n01i01431arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1432.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1432.vhd
new file mode 100644
index 000000000..5b746868e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1432.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1432.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01432ent IS
+END c08s07b00x00p02n01i01432ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01432arch OF c08s07b00x00p02n01i01432ent IS
+
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+
+ begin
+ -- Missing reserved word 'then' ERROR
+ if (I = 47)
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01432 - missing reserved word 'then' after IF"
+ severity FAILURE;
+ wait;
+end process TEST_PROCESS;
+
+END c08s07b00x00p02n01i01432arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1433.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1433.vhd
new file mode 100644
index 000000000..948eb2c1d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1433.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1433.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01433ent IS
+END c08s07b00x00p02n01i01433ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01433arch OF c08s07b00x00p02n01i01433ent IS
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+ begin
+ -- Misspelled 'elsif'.
+ if (I /= 47) then
+ NULL;
+ elseif (I = 47) then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01433 - reserved word 'elsif' is misspelled"
+ severity ERROR;
+ wait;
+ end process TEST_PROCESS;
+
+ END c08s07b00x00p02n01i01433arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1434.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1434.vhd
new file mode 100644
index 000000000..cc0222f52
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1434.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1434.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01434ent IS
+END c08s07b00x00p02n01i01434ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01434arch OF c08s07b00x00p02n01i01434ent IS
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+ begin
+ if (I /= 47) the
+ NULL;
+ else if (I = 47) then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01434 - reserved word 'then' misspelled"
+ severity ERROR;
+ wait;
+ end process TEST_PROCESS;
+
+END c08s07b00x00p02n01i01434arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1435.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1435.vhd
new file mode 100644
index 000000000..094577b76
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1435.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1435.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01435ent IS
+END c08s07b00x00p02n01i01435ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01435arch OF c08s07b00x00p02n01i01435ent IS
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+ begin
+ -- Missing 'then' on 'elsif'.
+ if (I /= 47) then
+ NULL;
+ elsif (I = 47)
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01435 - reserved word 'then' after 'elsif' is missing"
+ severity ERROR;
+ wait;
+end process TEST_PROCESS;
+
+END c08s07b00x00p02n01i01435arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1436.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1436.vhd
new file mode 100644
index 000000000..dcbe2b4c0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1436.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1436.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01436ent IS
+END c08s07b00x00p02n01i01436ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01436arch OF c08s07b00x00p02n01i01436ent IS
+begin
+
+ TEST_PROCESS: process
+ variable I : INTEGER := 47;
+ begin
+ -- 'else' before 'elsif'.
+ if (I /= 47) then
+ NULL;
+ else
+ NULL;
+ elsif (I = 47) then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01436 - reserved word 'elsif' is misplaced"
+ severity ERROR;
+ wait;
+ end process TEST_PROCESS;
+
+END c08s07b00x00p02n01i01436arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1437.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1437.vhd
new file mode 100644
index 000000000..dbe3a2d58
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1437.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1437.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01437ent IS
+END c08s07b00x00p02n01i01437ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01437arch OF c08s07b00x00p02n01i01437ent IS
+begin
+ P2_1 : process
+ variable v_integer : integer := 10;
+ begin
+ if v_integer /= 10 then
+ NULL;
+ els
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01437 - reserved word 'else' is misspelled"
+ severity ERROR;
+ wait;
+ end process P2_1 ;
+
+END c08s07b00x00p02n01i01437arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1438.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1438.vhd
new file mode 100644
index 000000000..9eae7dc56
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1438.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1438.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01438ent IS
+END c08s07b00x00p02n01i01438ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01438arch OF c08s07b00x00p02n01i01438ent IS
+
+begin
+ process
+ variable VAR_1: INTEGER := 3;
+ begin
+ if VAR_1 > 2 then
+ NULL;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01438 - reserved word 'end if;' is missing"
+ severity ERROR;
+ wait;
+ end process;
+
+ END c08s07b00x00p02n01i01438arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1439.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1439.vhd
new file mode 100644
index 000000000..ce52a6850
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1439.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1439.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01439ent IS
+END c08s07b00x00p02n01i01439ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01439arch OF c08s07b00x00p02n01i01439ent IS
+
+BEGIN
+ process
+ variable VAR_1: INTEGER := 3;
+ begin
+ if VAR_1 > 2 then
+ NULL;
+ en if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01439 - reserved word 'end if;' is misspelled"
+ severity ERROR;
+ wait;
+ end process;
+
+ END c08s07b00x00p02n01i01439arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc144.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc144.vhd
new file mode 100644
index 000000000..765a28f0d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc144.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc144.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p12n01i00144pkg is
+ procedure P1 (a : in integer; b: out integer);
+ function F1 (I : in integer) return real;
+end c04s03b02x02p12n01i00144pkg;
+
+package body c04s03b02x02p12n01i00144pkg is
+ procedure P1 (a: in integer; b: out integer) is
+ begin
+ b := a;
+ end;
+
+ function F1 (I: in integer) return real is
+ variable y : real := 1.0;
+ begin
+ return (y);
+ end;
+end c04s03b02x02p12n01i00144pkg;
+
+use work.c04s03b02x02p12n01i00144pkg.all;
+ENTITY c04s03b02x02p12n01i00144ent IS
+END c04s03b02x02p12n01i00144ent;
+
+ARCHITECTURE c04s03b02x02p12n01i00144arch OF c04s03b02x02p12n01i00144ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ variable x : integer := 1;
+ variable y : integer;
+ BEGIN
+ P1 (10, F1(b) => x ); -- Failure_here
+ y := x;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p12n01i00144 - Imbedded function call has improper subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p12n01i00144arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1440.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1440.vhd
new file mode 100644
index 000000000..7841587c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1440.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1440.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01440ent IS
+END c08s07b00x00p02n01i01440ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01440arch OF c08s07b00x00p02n01i01440ent IS
+
+begin
+ process
+ variable k : INTEGER := 1;
+ begin
+ if k = 1 then
+ NULL;
+ end if
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01440 - missing semicolon after 'end if'"
+ severity ERROR;
+ wait;
+ end process;
+END c08s07b00x00p02n01i01440arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1441.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1441.vhd
new file mode 100644
index 000000000..75908df80
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1441.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1441.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01441ent IS
+END c08s07b00x00p02n01i01441ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01441arch OF c08s07b00x00p02n01i01441ent IS
+
+begin
+ process
+ variable k : INTEGER := 1;
+ begin
+ if k = 1 then
+ NULL;
+ end if;
+ NULL;
+ elsif
+ NULL:
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01441 - missing semicolon after 'end if'"
+ severity ERROR;
+ wait;
+ end process;
+
+END c08s07b00x00p02n01i01441arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1449.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1449.vhd
new file mode 100644
index 000000000..9044f41f7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1449.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1449.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p02n01i01449ent IS
+END c08s07b00x00p02n01i01449ent;
+
+ARCHITECTURE c08s07b00x00p02n01i01449arch OF c08s07b00x00p02n01i01449ent IS
+
+ type boolean_enum is (less_than, equal_to, greater_than);
+
+ function be_compare ( constant i1, i2 : integer ) return boolean_enum is
+ begin
+ --
+ -- This if statement has two else clauses; the second one
+ -- is illegal.
+ --
+ if i1 < i2 then
+ return less_than;
+ else -- This 'else' is OK
+ return greater_than;
+ else -- This 'else' is illegal
+ return equal_to;
+ end if;
+ end be_compare;
+
+begin
+ TESTING: process
+ variable be_val : boolean_enum; -- function return value
+ variable v1, v2 : integer := 0; -- equal test values
+ begin
+ --
+ -- This first function call should get an error message
+ -- if it even gets that far.
+ --
+ be_val := be_compare(v1,v2);
+
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p02n01i01449 - If statement can only have one else clause."
+ severity ERROR;
+
+ wait;
+ end process TESTING;
+
+END c08s07b00x00p02n01i01449arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc145.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc145.vhd
new file mode 100644
index 000000000..c8f107098
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc145.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc145.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p12n01i00145pkg is
+ procedure P1 (a : in integer; b: out integer);
+ function F1 (I1, I2 : in integer) return real;
+end c04s03b02x02p12n01i00145pkg;
+
+package body c04s03b02x02p12n01i00145pkg is
+ procedure P1 (a: in integer; b: out integer) is
+ begin
+ b := a;
+ end;
+
+ function F1 (I1, I2: in integer) return real is
+ variable y : real := 1.0;
+ begin
+ return (y);
+ end;
+end c04s03b02x02p12n01i00145pkg;
+
+use work.c04s03b02x02p12n01i00145pkg.all;
+ENTITY c04s03b02x02p12n01i00145ent IS
+END c04s03b02x02p12n01i00145ent;
+
+ARCHITECTURE c04s03b02x02p12n01i00145arch OF c04s03b02x02p12n01i00145ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ variable x1 : real := 1.0;
+ variable x2 : real := 1.0;
+ variable y : real ;
+ BEGIN
+ P1 (10, F1(b, x1) => x2 ); -- Failure_here
+ -- function has more than one parameter.
+ y := x2;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p12n01i00145 - Formal part in named association has improper format."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p12n01i00145arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1453.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1453.vhd
new file mode 100644
index 000000000..6b13a60a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1453.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1453.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p01n01i01453ent IS
+END c08s07b00x00p01n01i01453ent;
+
+ARCHITECTURE c08s07b00x00p01n01i01453arch OF c08s07b00x00p01n01i01453ent IS
+
+BEGIN
+ transmit: process
+ variable s := 10;
+ begin
+ if s + 10 then -- failure_here condition not boolean.
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p01n01i01453 - Expression of IF statement must be of type BOOLEAN"
+ severity ERROR;
+ wait;
+ end process transmit;
+
+END c08s07b00x00p01n01i01453arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1454.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1454.vhd
new file mode 100644
index 000000000..c1134b85f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1454.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1454.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p01n01i01454ent IS
+END c08s07b00x00p01n01i01454ent;
+
+ARCHITECTURE c08s07b00x00p01n01i01454arch OF c08s07b00x00p01n01i01454ent IS
+
+begin
+ TESTING: process
+ variable b1, b2 : bit := '0';
+ begin
+ if '1' then -- failure_here condition not boolean.
+ b1 := '1';
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p01n01i01454 - Expression of IF statement is not of type BOOLEAN"
+ severity ERROR;
+ wait;
+ end process TESTING;
+
+END c08s07b00x00p01n01i01454arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1455.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1455.vhd
new file mode 100644
index 000000000..5282b4194
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1455.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1455.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s07b00x00p01n01i01455ent IS
+END c08s07b00x00p01n01i01455ent;
+
+ARCHITECTURE c08s07b00x00p01n01i01455arch OF c08s07b00x00p01n01i01455ent IS
+
+begin
+ TESTING: process
+ variable i1, i2 : integer := 0;
+ begin
+ if 1 then -- failure_here condition not boolean.
+ i1 := 1;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c08s07b00x00p01n01i01455 - Expression of IF statement is not of type BOOLEAN"
+ severity ERROR;
+ wait;
+ end process TESTING;
+
+END c08s07b00x00p01n01i01455arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1464.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1464.vhd
new file mode 100644
index 000000000..36a52477d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1464.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1464.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p02n01i01464ent IS
+END c08s08b00x00p02n01i01464ent;
+
+ARCHITECTURE c08s08b00x00p02n01i01464arch OF c08s08b00x00p02n01i01464ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ variable k : integer := 0;
+ BEGIN
+ case x
+ when 1 => k := 5;
+ when 2 => NULL;
+ when 3 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p02n01i01464 - missing reserved word 'is'"
+ severity ERROR;
+ wait;
+END PROCESS TESTING;
+
+END c08s08b00x00p02n01i01464arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1465.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1465.vhd
new file mode 100644
index 000000000..f521ef45e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1465.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1465.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p02n01i01465ent IS
+END c08s08b00x00p02n01i01465ent;
+
+ARCHITECTURE c08s08b00x00p02n01i01465arch OF c08s08b00x00p02n01i01465ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ variable k : integer := 0;
+ BEGIN
+ case is
+ when 1 => k := 5;
+ when 2 => NULL;
+ when 3 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p02n01i01465 - missing expression after the reserved word 'case'"
+ severity ERROR;
+ wait;
+END PROCESS TESTING;
+
+END c08s08b00x00p02n01i01465arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1466.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1466.vhd
new file mode 100644
index 000000000..5574fb737
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1466.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1466.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p02n01i01466ent IS
+END c08s08b00x00p02n01i01466ent;
+
+ARCHITECTURE c08s08b00x00p02n01i01466arch OF c08s08b00x00p02n01i01466ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ BEGIN
+ case x is
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p02n01i01466 - missing case statement alternatives in case statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p02n01i01466arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1467.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1467.vhd
new file mode 100644
index 000000000..b80736504
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1467.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1467.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p02n01i01467ent IS
+END c08s08b00x00p02n01i01467ent;
+
+ARCHITECTURE c08s08b00x00p02n01i01467arch OF c08s08b00x00p02n01i01467ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ variable k : integer := 0;
+ BEGIN
+ case x is
+ when 1 => k := 5;
+ when others => NULL;
+ end case
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p02n01i01467 - Missing semicolon after the reserved word 'end case'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p02n01i01467arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1468.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1468.vhd
new file mode 100644
index 000000000..5c0d98df6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1468.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1468.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p03n01i01468ent IS
+END c08s08b00x00p03n01i01468ent;
+
+ARCHITECTURE c08s08b00x00p03n01i01468arch OF c08s08b00x00p03n01i01468ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 0;
+ BEGIN
+ case x is
+ 1 => NULL;
+ when 2 => NULL:
+ when 3 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p03n01i01468 - missing reserved word 'when'"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p03n01i01468_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1469.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1469.vhd
new file mode 100644
index 000000000..98d3a78fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1469.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1469.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p03n01i01469ent IS
+END c08s08b00x00p03n01i01469ent;
+
+ARCHITECTURE c08s08b00x00p03n01i01469arch OF c08s08b00x00p03n01i01469ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 0;
+ BEGIN
+ case x is
+ when 1 => NULL;
+ when => NULL:
+ when 3 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p03n01i01469 - missing choices"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p03n01i01469arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1470.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1470.vhd
new file mode 100644
index 000000000..4e48ea79e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1470.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1470.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p03n01i01470ent IS
+END c08s08b00x00p03n01i01470ent;
+
+ARCHITECTURE c08s08b00x00p03n01i01470arch OF c08s08b00x00p03n01i01470ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 0;
+ BEGIN
+ case x is
+ when 1 => NULL;
+ when 2 => NULL:
+ when 3 NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p03n01i01470 - missing arrows"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p03n01i01470arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1471.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1471.vhd
new file mode 100644
index 000000000..fd8f0a3d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1471.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1471.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p03n01i01471ent IS
+END c08s08b00x00p03n01i01471ent;
+
+ARCHITECTURE c08s08b00x00p03n01i01471arch OF c08s08b00x00p03n01i01471ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 0;
+ BEGIN
+ case x is
+ when 1 => NULL;
+ when 2 => NULL:
+ when 3 => NULL;
+ when others => ;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p03n01i01471 - missing sequence of statement in a case alternative"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p03n01i01471arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1472.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1472.vhd
new file mode 100644
index 000000000..a0b16b8a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1472.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1472.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p03n01i01472ent IS
+END c08s08b00x00p03n01i01472ent;
+
+ARCHITECTURE c08s08b00x00p03n01i01472arch OF c08s08b00x00p03n01i01472ent IS
+
+ type primary is (blue, red, yellow);
+
+ --
+ -- Test that the '<=' can not be used
+ --
+ function color_to_int ( constant color : primary
+ ) return integer is
+ begin
+ case color is
+ when others <= -- should be '=>'
+ return primary'pos(color);
+ end case;
+ end color_to_int;
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p03n01i01472 - Signal assignment operator can not be used as case alternative delimiter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p03n01i01472arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1476.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1476.vhd
new file mode 100644
index 000000000..f0a173069
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1476.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1476.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01476ent IS
+END c08s08b00x00p04n01i01476ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01476arch OF c08s08b00x00p04n01i01476ent IS
+
+ type t_int1 is range 0 to 100;
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ variable i : integer := 50;
+ BEGIN
+ case i is
+ when t_int1'low to 60 => k := 5;
+ when 61 to 88 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01476 - all of the choices must have the same type as the expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01476arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1477.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1477.vhd
new file mode 100644
index 000000000..b8df91e22
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1477.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1477.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01477ent IS
+END c08s08b00x00p04n01i01477ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01477arch OF c08s08b00x00p04n01i01477ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable r1 : real := 0.1;
+ BEGIN
+ case r1 is -- illegal, must be discrete
+ when 0.0 to 1.0 =>
+ assert false
+ report "REAL allowed as case expression."
+ severity note ;
+ when others =>
+ assert false
+ report "REAL allowed as case expression."
+ severity note ;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01477 - Real type is not allowed in expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01477arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1478.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1478.vhd
new file mode 100644
index 000000000..cba4f8600
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1478.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1478.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01478ent IS
+END c08s08b00x00p04n01i01478ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01478arch OF c08s08b00x00p04n01i01478ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type i_array_type is array (1 to 5) of integer;
+ variable a1 : i_array_type := (others => 0);
+ BEGIN
+
+ case a1 is -- illegal, must be discrete
+ when 0 =>
+ assert false
+ report "Array allowed as case expression."
+ severity note ;
+ when others =>
+ assert false
+ report "Array allowed as case expression."
+ severity note ;
+ end case;
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01478 - Array type is not allowed in expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01478arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1479.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1479.vhd
new file mode 100644
index 000000000..a0223b705
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1479.vhd
@@ -0,0 +1,65 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1479.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01479ent IS
+END c08s08b00x00p04n01i01479ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01479arch OF c08s08b00x00p04n01i01479ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ procedure boo_boo ( variable i1 : inout integer
+ ) is
+ begin
+ -- Just return what is submitted
+ end boo_boo;
+ variable boo_b : integer := 0;
+
+ BEGIN
+
+ case boo_boo(boo_b) is -- illegal, must be function
+ when 0 =>
+ assert false
+ report "Procedure call allowed as case expression."
+ severity note ;
+ when others =>
+ assert false
+ report "Procedure call allowed as case expression."
+ severity note ;
+ end case;
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01479 - Procedure call is not allowed in expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01479arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1480.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1480.vhd
new file mode 100644
index 000000000..d7de8f94f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1480.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1480.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01480ent IS
+END c08s08b00x00p04n01i01480ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01480arch OF c08s08b00x00p04n01i01480ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ function f return real is
+ type t1 is (one,two,three,four);
+ subtype st is t1 range one to three;
+ variable v : st := one;
+ begin
+ case v is
+ when one =>
+ return 0.1;
+ when two to four => -- error : range violates constraints
+ return 9.0;
+ end case;
+ end f;
+
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01480 - Static range violation."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01480arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1481.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1481.vhd
new file mode 100644
index 000000000..423c8968f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1481.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1481.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01481ent IS
+END c08s08b00x00p04n01i01481ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01481arch OF c08s08b00x00p04n01i01481ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ function f return boolean is
+ variable v1 : natural := 6;
+ begin
+ case v1 is
+ when -1 to 5 => -- error : range violates constraint
+ return true;
+ when others =>
+ return false;
+ end case;
+ end f;
+
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01481 - Static range violation."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01481arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1482.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1482.vhd
new file mode 100644
index 000000000..9f1aa499d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1482.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1482.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n01i01482ent IS
+END c08s08b00x00p04n01i01482ent;
+
+ARCHITECTURE c08s08b00x00p04n01i01482arch OF c08s08b00x00p04n01i01482ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ subtype st is integer range 20 to 45;
+ variable v1 : st := 20;
+ constant c1 : integer := 14;
+
+ BEGIN
+
+ case v1 is
+ when 0 to 100 => -- error : range violates constraint
+ v1 := 33;
+ when others =>
+ v1 := 20;
+ end case;
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p04n01i01482 - Static range violation."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n01i01482arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1484.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1484.vhd
new file mode 100644
index 000000000..caef4715f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1484.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1484.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p04n03i01484ent IS
+END c08s08b00x00p04n03i01484ent;
+
+ARCHITECTURE c08s08b00x00p04n03i01484arch OF c08s08b00x00p04n03i01484ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ variable i : integer := 2;
+ BEGIN
+ case i is
+ when 2 => k = 5;
+ when true => NULL;
+ when others => NULL;
+ end case;
+ assert NOT( k = 5 )
+ report "***PASSED TEST: c08s08b00x00p04n03i01484"
+ severity NOTE;
+ assert ( k = 5 )
+ report "***FAILED TEST: c08s08b00x00p04n03i01484 - The case statement alternatives must be of the same type as the expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p04n03i01484arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1489.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1489.vhd
new file mode 100644
index 000000000..6f48b917c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1489.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1489.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p05n01i01489ent IS
+END c08s08b00x00p05n01i01489ent;
+
+ARCHITECTURE c08s08b00x00p05n01i01489arch OF c08s08b00x00p05n01i01489ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type x is (Jan,Feb,Mar);
+ variable y:x;
+ BEGIN
+ case y is
+ when Jan => NULL;
+ when Feb => NULL;
+ when Mar => NULL;
+ when Jan => NULL;
+ when others => NULL;
+ end case;
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p05n01i01489 - Each choice in a case statement may only be represented once"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p05n01i01489arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1490.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1490.vhd
new file mode 100644
index 000000000..53ac86821
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1490.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1490.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p05n01i01490ent IS
+END c08s08b00x00p05n01i01490ent;
+
+ARCHITECTURE c08s08b00x00p05n01i01490arch OF c08s08b00x00p05n01i01490ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type x is (Jan,Feb,Mar,Apr);
+ variable y:x;
+
+ BEGIN
+ case y is
+ when Jan => NULL;
+ when Feb => NULL;
+ when Mar => NULL;
+ end case;
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p05n01i01490 - the choice OTHERS must be present when all alternatives are not covered "
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p05n01i01490arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1492.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1492.vhd
new file mode 100644
index 000000000..81b9d8a83
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1492.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1492.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p05n01i01492ent IS
+END c08s08b00x00p05n01i01492ent;
+
+ARCHITECTURE c08s08b00x00p05n01i01492arch OF c08s08b00x00p05n01i01492ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b1, b2 : boolean ;= true;
+ BEGIN
+ case b1 is
+ end case; -- illegal
+
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p05n01i01492 - Case statement must have at least one alternative."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p05n01i01492arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1494.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1494.vhd
new file mode 100644
index 000000000..d1e8ebddc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1494.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1494.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p07n01i01494ent IS
+END c08s08b00x00p07n01i01494ent;
+
+ARCHITECTURE c08s08b00x00p07n01i01494arch OF c08s08b00x00p07n01i01494ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable kk : STRING(1 to N) ;
+ BEGIN
+ case kk is
+ when "TH" => NULL;
+ when "AB" => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p07n01i01494 - Case Expression must denote a locally static subtype"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p07n01i01494arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1498.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1498.vhd
new file mode 100644
index 000000000..e05664781
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1498.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1498.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n01i01498ent IS
+END c08s08b00x00p14n01i01498ent;
+
+ARCHITECTURE c08s08b00x00p14n01i01498arch OF c08s08b00x00p14n01i01498ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer ;
+ variable p : integer := 5;
+ variable q : integer ;
+ BEGIN
+ case p is
+ when k => NULL;
+ when q => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n01i01498 - Simple expression is not static"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n01i01498arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1501.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1501.vhd
new file mode 100644
index 000000000..f5e2ba358
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1501.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1501.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n02i01501ent IS
+END c08s08b00x00p14n02i01501ent;
+
+ARCHITECTURE c08s08b00x00p14n02i01501arch OF c08s08b00x00p14n02i01501ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST is INTEGER range 20 to 45;
+ variable V1 : ST := 20;
+ BEGIN
+ case V1 is
+ when 20.0 to 22.0 => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n02i01501 - Non-discrete ranges are not allowed in case choices"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n02i01501arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1502.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1502.vhd
new file mode 100644
index 000000000..e7fecfc3b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1502.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1502.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n03i01502ent IS
+END c08s08b00x00p14n03i01502ent;
+
+ARCHITECTURE c08s08b00x00p14n03i01502arch OF c08s08b00x00p14n03i01502ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type months is (Jan, Feb,Mar);
+ variable x : months;
+ BEGIN
+ case x is
+ when Jan => NULL;
+ when Feb => NULL;
+ when Others => NULL;
+ when Mar => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n03i01502 - The choice OTHERS must be the last alternative in a CASE statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n03i01502arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1503.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1503.vhd
new file mode 100644
index 000000000..c8e0398f2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1503.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1503.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n03i01503ent IS
+END c08s08b00x00p14n03i01503ent;
+
+ARCHITECTURE c08s08b00x00p14n03i01503arch OF c08s08b00x00p14n03i01503ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer;
+ BEGIN
+ case x is
+ when 1 => NULL;
+ when 2 => NULL;
+ when 3 => NULL;
+ when 4 => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n03i01503 - OTHERS choice is required as an alternative in a CASE statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n03i01503arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1504.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1504.vhd
new file mode 100644
index 000000000..0b003ffbf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1504.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1504.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n03i01504ent IS
+END c08s08b00x00p14n03i01504ent;
+
+ARCHITECTURE c08s08b00x00p14n03i01504arch OF c08s08b00x00p14n03i01504ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer;
+ BEGIN
+ case x is
+ when 1 to 19 => NULL;
+ when others | 32 => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n03i01504 - OTHERS choice is allowed as the last choice and it must be the only choice"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n03i01504arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1506.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1506.vhd
new file mode 100644
index 000000000..0a3caed12
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1506.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1506.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s08b00x00p14n04i01506ent IS
+END c08s08b00x00p14n04i01506ent;
+
+ARCHITECTURE c08s08b00x00p14n04i01506arch OF c08s08b00x00p14n04i01506ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type day is (sun,mon,tue,wed,thu,fri,sat);
+
+ type rec_type is
+ record
+ element : day;
+ end record;
+
+ variable s_day ; day;
+ BEGIN
+ case s_day is
+ when sun => NULL;
+ when mon => NULL;
+ when elements => NULL;
+ when others => NULL;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c08s08b00x00p14n04i01506 - A simple name is not allowed as an alternative in a CASE statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s08b00x00p14n04i01506arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc151.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc151.vhd
new file mode 100644
index 000000000..87f90e64d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc151.vhd
@@ -0,0 +1,67 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc151.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p16n01i00151ent_a IS
+ port (signal input_1 : in bit;
+ signal input_2 : in bit_vector;
+ signal output : out bit);
+END c04s03b02x02p16n01i00151ent_a;
+
+ARCHITECTURE c04s03b02x02p16n01i00151arch_a OF c04s03b02x02p16n01i00151ent_a IS
+BEGIN
+END c04s03b02x02p16n01i00151arch_a;
+
+
+ENTITY c04s03b02x02p16n01i00151ent IS
+ port (X: in BIT; Z: out BIT);
+END c04s03b02x02p16n01i00151ent;
+
+ARCHITECTURE c04s03b02x02p16n01i00151arch OF c04s03b02x02p16n01i00151ent IS
+ component input2
+ port (signal input_1 : in bit;
+ signal input_2 : in bit_vector;
+ signal output : out bit);
+ end component;
+ for G1 : input2 use entity work.ch04030202_p01601_02_ent_a(ch04030202_p01601_02_arch_a);
+ type bit_vector is array (positive range <>) of bit;
+ signal A1 : bit_vector;
+BEGIN
+
+ G1: input2 port map (X, A1, Z); -- Failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p16n01i00151 - The type of an actual should be same as that of the formal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p16n01i00151arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1513.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1513.vhd
new file mode 100644
index 000000000..f3d711681
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1513.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1513.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p02n01i01513ent IS
+END c08s09b00x00p02n01i01513ent;
+
+ARCHITECTURE c08s09b00x00p02n01i01513arch OF c08s09b00x00p02n01i01513ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L1 : loop
+ end L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p02n01i01513 - Missing reserved word 'end loop' in a loop statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p02n01i01513arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc152.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc152.vhd
new file mode 100644
index 000000000..acd4d7137
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc152.vhd
@@ -0,0 +1,67 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc152.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p16n01i00152ent_a IS
+ port (signal input_1 : in bit;
+ signal input_2 : in bit;
+ signal output : out bit);
+END c04s03b02x02p16n01i00152ent_a;
+
+ARCHITECTURE c04s03b02x02p16n01i00152arch_a OF c04s03b02x02p16n01i00152ent_a IS
+BEGIN
+END c04s03b02x02p16n01i00152arch_a;
+
+
+ENTITY c04s03b02x02p16n01i00152ent IS
+ port (X: in BIT; Z: out BIT);
+END c04s03b02x02p16n01i00152ent;
+
+ARCHITECTURE c04s03b02x02p16n01i00152arch OF c04s03b02x02p16n01i00152ent IS
+ component input2
+ port (signal input_1 : in bit;
+ signal input_2 : in bit;
+ signal output : out bit);
+ end component;
+ for G1 : input2 use entity work.c04s03b02x02p16n01i00152ent_a(c04s03b02x02p16n01i00152arch_a);
+ type byte is array (1 to 8) of bit;
+ signal A1 : byte;
+BEGIN
+
+ G1: input2 port map (X, A1, Z); -- Failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p16n01i00152 - The type of an actual should be same as that of the formal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p16n01i00152arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1520.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1520.vhd
new file mode 100644
index 000000000..d79da61ae
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1520.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1520.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p05n01i01520ent IS
+END c08s09b00x00p05n01i01520ent;
+
+ARCHITECTURE c08s09b00x00p05n01i01520arch OF c08s09b00x00p05n01i01520ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant k : integer := 0;
+ BEGIN
+ l1 : loop
+ end loop kk;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p05n01i01520 - The label at the end of the loop statement does not match the loop label"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p05n01i01520arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1525.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1525.vhd
new file mode 100644
index 000000000..87868ded9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1525.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1525.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p08n01i01525ent IS
+END c08s09b00x00p08n01i01525ent;
+
+ARCHITECTURE c08s09b00x00p08n01i01525arch OF c08s09b00x00p08n01i01525ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ while not '0' loop
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p08n01i01525 - while condition is not boolean expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p08n01i01525arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1526.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1526.vhd
new file mode 100644
index 000000000..53210f804
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1526.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1526.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p08n01i01526ent IS
+END c08s09b00x00p08n01i01526ent;
+
+ARCHITECTURE c08s09b00x00p08n01i01526arch OF c08s09b00x00p08n01i01526ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ while "HELLO" & "O" loop
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p08n01i01526 - while condition is not boolean expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p08n01i01526arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1527.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1527.vhd
new file mode 100644
index 000000000..92881df86
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1527.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1527.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p08n01i01527ent IS
+END c08s09b00x00p08n01i01527ent;
+
+ARCHITECTURE c08s09b00x00p08n01i01527arch OF c08s09b00x00p08n01i01527ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable NSS : integer := 5;
+ variable MIN : integer := 6;
+ BEGIN
+ while NSS * MIN loop
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p08n01i01527 - while condition is not boolean expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p08n01i01527arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1528.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1528.vhd
new file mode 100644
index 000000000..c2c38812f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1528.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1528.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p08n01i01528ent IS
+END c08s09b00x00p08n01i01528ent;
+
+ARCHITECTURE c08s09b00x00p08n01i01528arch OF c08s09b00x00p08n01i01528ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ while '1' and '0' loop
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p08n01i01528 - while condition is not boolean expression"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p08n01i01528arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc153.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc153.vhd
new file mode 100644
index 000000000..25735e34b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc153.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc153.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p16n01i00153pkg is
+ procedure P1 (a : in integer; b: inout integer);
+end ch04030202_p01601_03_pkg;
+
+package body c04s03b02x02p16n01i00153pkg is
+ procedure P1 (a: in integer; b: inout integer) is
+ begin
+ b := a;
+ end;
+end c04s03b02x02p16n01i00153pkg;
+
+
+use work.c04s03b02x02p16n01i00153pkg.all;
+ENTITY c04s03b02x02p16n01i00153ent IS
+END c04s03b02x02p16n01i00153ent;
+
+ARCHITECTURE c04s03b02x02p16n01i00153arch OF c04s03b02x02p16n01i00153ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ variable x : real := 1.0;
+ BEGIN
+ P1 (10, b => x); -- Failure_here
+ -- b and x have different types
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p16n01i00153 - Type mismatch."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p16n01i00153arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1532.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1532.vhd
new file mode 100644
index 000000000..29c4c4783
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1532.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1532.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n02i01532ent IS
+END c08s09b00x00p09n02i01532ent;
+
+ARCHITECTURE c08s09b00x00p09n02i01532arch OF c08s09b00x00p09n02i01532ent IS
+
+BEGIN
+ TESTING: PROCESS
+
+ BEGIN
+ --
+ -- Loop must have discrete parameters
+ --
+ L1: for c in 1.2 to 2.54 loop -- parameters must be discrete
+ null;
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n02i01532 - Loop parameters must be discrete."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n02i01532arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1533.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1533.vhd
new file mode 100644
index 000000000..a1dc011a9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1533.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1533.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n02i01533ent IS
+END c08s09b00x00p09n02i01533ent;
+
+ARCHITECTURE c08s09b00x00p09n02i01533arch OF c08s09b00x00p09n02i01533ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i1, i2, i3 : integer := 1;
+ BEGIN
+
+ --
+ -- Initialize two integer variables so their division yeilds a real
+ --
+ i2 := 11;
+ i3 := 3;
+ --
+ -- Loop must have discrete parameters
+ --
+ L1: for c in i1 to real(i2) / i3 loop -- parameters must be discrete
+ null;
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n02i01533 - Loop parameters must be discrete."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n02i01533arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1534.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1534.vhd
new file mode 100644
index 000000000..ddf976111
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1534.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1534.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n02i01534ent IS
+END c08s09b00x00p09n02i01534ent;
+
+ARCHITECTURE c08s09b00x00p09n02i01534arch OF c08s09b00x00p09n02i01534ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i1, i2, i3 : integer := 1;
+ BEGIN
+
+ --
+ -- Initialize two integer variables so their division yeilds a real
+ --
+ i2 := 11;
+ i3 := 3;
+ --
+ -- Loop must have discrete parameters
+ --
+ L1: for c in real(i2) / i3 to i2 loop -- parameters must be discrete
+ null;
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n02i01534 - Loop parameters must be discrete."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n02i01534arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1535.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1535.vhd
new file mode 100644
index 000000000..c19bf9986
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1535.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1535.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n03i01535ent IS
+END c08s09b00x00p09n03i01535ent;
+
+ARCHITECTURE c08s09b00x00p09n03i01535arch OF c08s09b00x00p09n03i01535ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ variable i : integer := 10;
+ BEGIN
+ i := 3;
+ for i in 1 to 5 loop
+ k := k + 1;
+ i := 4;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n03i01535 - The loop index can not be the target of an assignment statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n03i01535arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1537.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1537.vhd
new file mode 100644
index 000000000..5e71ffff8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1537.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1537.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n05i01537ent IS
+END c08s09b00x00p09n05i01537ent;
+
+ARCHITECTURE c08s09b00x00p09n05i01537arch OF c08s09b00x00p09n05i01537ent IS
+ procedure copy ( variable v_in : in integer := 0;
+ variable v_out : out integer
+ ) is
+ begin
+ v_out := v_in;
+ end copy;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for i in 4 to 5 loop
+ copy (6, i); -- illegal: 2nd param is mode "inout"
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n05i01537 - A loop parameter can not be an actual corresponding to a formal of mode out "
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n05i01537arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1538.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1538.vhd
new file mode 100644
index 000000000..f5eb333fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1538.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1538.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p09n05i01538ent IS
+END c08s09b00x00p09n05i01538ent;
+
+ARCHITECTURE c08s09b00x00p09n05i01538arch OF c08s09b00x00p09n05i01538ent IS
+ procedure copy ( variable v_in : in integer := 0;
+ variable v_out : inout integer
+ ) is
+ begin
+ v_out := v_in;
+ end copy;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for i in 4 to 5 loop
+ copy (6, i); -- illegal: 2nd param is mode "inout"
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p09n05i01538 - A loop parameter can not be an actual corresponding to a formal of mode inout "
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p09n05i01538arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1541.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1541.vhd
new file mode 100644
index 000000000..530611a0b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1541.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1541.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p10n01i01541ent IS
+END c08s09b00x00p10n01i01541ent;
+
+ARCHITECTURE c08s09b00x00p10n01i01541arch OF c08s09b00x00p10n01i01541ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ s : for j in 1 to 100 loop
+ s := 3;
+ end loop s;
+ s := 3;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p10n01i01541 - The target of the variable assignment statement is not declared"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p10n01i01541arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1542.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1542.vhd
new file mode 100644
index 000000000..466a6a55b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1542.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1542.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s09b00x00p10n01i01542ent IS
+END c08s09b00x00p10n01i01542ent;
+
+ARCHITECTURE c08s09b00x00p10n01i01542arch OF c08s09b00x00p10n01i01542ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ s : for j in PS to FS loop
+ end loop s;
+ assert FALSE
+ report "***FAILED TEST: c08s09b00x00p10n01i01542 - Discrete range must have discrete upper and lower bounds"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s09b00x00p10n01i01542arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc155.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc155.vhd
new file mode 100644
index 000000000..9a723091d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc155.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc155.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p16n02i00155pkg is
+ procedure P1 (a: in integer; b: out integer);
+end c04s03b02x02p16n02i00155pkg;
+
+package body c04s03b02x02p16n02i00155pkg is
+ procedure P1 (a: in integer; b: out integer) is
+ begin
+ b := a;
+ end;
+end c04s03b02x02p16n02i00155pkg;
+
+
+use work.c04s03b02x02p16n02i00155pkg.all;
+ENTITY c04s03b02x02p16n02i00155ent IS
+END c04s03b02x02p16n02i00155ent;
+
+ARCHITECTURE c04s03b02x02p16n02i00155arch OF c04s03b02x02p16n02i00155ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : real := 1.0;
+ BEGIN
+ P1 (10, b => x); -- Failure_here
+ -- b and x have different types
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p16n02i00155 - Type mis-match during procedure call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p16n02i00155arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1556.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1556.vhd
new file mode 100644
index 000000000..cf0c01c22
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1556.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1556.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p02n01i01556ent IS
+END c08s10b00x00p02n01i01556ent;
+
+ARCHITECTURE c08s10b00x00p02n01i01556arch OF c08s10b00x00p02n01i01556ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ next
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p02n01i01556 - Missing semicolon in the loop statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p02n01i01556arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1557.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1557.vhd
new file mode 100644
index 000000000..ed8bc3049
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1557.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1557.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p02n01i01557ent IS
+END c08s10b00x00p02n01i01557ent;
+
+ARCHITECTURE c08s10b00x00p02n01i01557arch OF c08s10b00x00p02n01i01557ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for b in boolean loop
+ next when b L1; -- label must precede when clause
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p02n01i01557 - Illegal clause ordering."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p02n01i01557arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1559.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1559.vhd
new file mode 100644
index 000000000..8f1069d57
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1559.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1559.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p03n01i01559ent IS
+END c08s10b00x00p03n01i01559ent;
+
+ARCHITECTURE c08s10b00x00p03n01i01559arch OF c08s10b00x00p03n01i01559ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ end loop;
+ next L;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p03n01i01559 - A next statement with a loop label must be inside the loop containing that label"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p03n01i01559arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc156.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc156.vhd
new file mode 100644
index 000000000..87999f3d1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc156.vhd
@@ -0,0 +1,78 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc156.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p17n01i00156ent IS
+ PORT ( ii: INOUT integer);
+ PROCEDURE addup (i1,i2,i3:IN INTEGER;add:IN BOOLEAN;VARIABLE i4:OUT INTEGER) IS
+ BEGIN
+ IF add THEN
+ i4 := (i1+i2+i3);
+ ELSE
+ i4 := (i1-i2)-i3;
+ END IF;
+ END;
+END c04s03b02x02p17n01i00156ent;
+
+ARCHITECTURE c04s03b02x02p17n01i00156arch OF c04s03b02x02p17n01i00156ent IS
+
+BEGIN
+ TESTING: PROCESS
+ VARIABLE a1 : INTEGER := 57;
+ VARIABLE a2 : INTEGER := 68;
+ VARIABLE a3 : INTEGER := 77;
+ VARIABLE b1 : BIT := '1';
+ VARIABLE b2 : BIT := '0';
+ FUNCTION convb (inp:IN INTEGER) RETURN BOOLEAN IS
+ BEGIN
+ IF (inp > 0) THEN
+ RETURN (TRUE);
+ ELSE
+ RETURN (FALSE);
+ END IF;
+ END;
+ FUNCTION conv1 (inp:IN BIT) RETURN INTEGER IS
+ BEGIN
+ IF (inp = '1') THEN
+ RETURN (22);
+ ELSE
+ RETURN (23);
+ END IF;
+ END;
+ BEGIN
+ WAIT FOR 1 ns;
+ addup(i2=>conv1(b1),add=>conv1(a2),i1=>conv1(b2),i3=>a1,i4=>a1);
+ WAIT FOR 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p17n01i00156 - Type coversion return wrong type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p17n01i00156arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1561.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1561.vhd
new file mode 100644
index 000000000..06f54e627
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1561.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1561.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p03n01i01561ent IS
+END c08s10b00x00p03n01i01561ent;
+
+ARCHITECTURE c08s10b00x00p03n01i01561arch OF c08s10b00x00p03n01i01561ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable s : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ next K when i = 3;
+ s := s + 1;
+ end loop L;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p03n01i01561 - A next statement with a label loop must be inside that loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p03n01i01561arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1562.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1562.vhd
new file mode 100644
index 000000000..78fb2ef4b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1562.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1562.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p03n01i01562ent IS
+END c08s10b00x00p03n01i01562ent;
+
+ARCHITECTURE c08s10b00x00p03n01i01562arch OF c08s10b00x00p03n01i01562ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 0;
+ variable K : integer := 1;
+ BEGIN
+ L : for i in 1 to 10 loop
+ next K when i = 3;
+ end loop L;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p03n01i01562 - A next statement with a loop label must exist inside that loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p03n01i01562arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1563.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1563.vhd
new file mode 100644
index 000000000..e3c2c9ccb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1563.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1563.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p03n01i01563ent IS
+END c08s10b00x00p03n01i01563ent;
+
+ARCHITECTURE c08s10b00x00p03n01i01563arch OF c08s10b00x00p03n01i01563ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ next K;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p03n01i01563 - The loop label after the NEXT statement does not exist"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p03n01i01563arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1564.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1564.vhd
new file mode 100644
index 000000000..dc3692dee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1564.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1564.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p03n01i01564ent IS
+END c08s10b00x00p03n01i01564ent;
+
+ARCHITECTURE c08s10b00x00p03n01i01564arch OF c08s10b00x00p03n01i01564ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ end loop;
+ next;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p03n01i01564 - A NEXT statement must be inside a loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p03n01i01564arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1575.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1575.vhd
new file mode 100644
index 000000000..a26c926a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1575.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1575.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p04n01i01575ent IS
+END c08s10b00x00p04n01i01575ent;
+
+ARCHITECTURE c08s10b00x00p04n01i01575arch OF c08s10b00x00p04n01i01575ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ next L when 5;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p04n01i01575 - The condition in a next statement has to be of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p04n01i01575arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1577.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1577.vhd
new file mode 100644
index 000000000..3d58e1718
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1577.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1577.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p04n01i01577ent IS
+END c08s10b00x00p04n01i01577ent;
+
+ARCHITECTURE c08s10b00x00p04n01i01577arch OF c08s10b00x00p04n01i01577ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ next when 5.0;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p04n01i01577 - The condition in a next statement has to be of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p04n01i01577arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1578.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1578.vhd
new file mode 100644
index 000000000..e95f82e70
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1578.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1578.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p04n01i01578ent IS
+END c08s10b00x00p04n01i01578ent;
+
+ARCHITECTURE c08s10b00x00p04n01i01578arch OF c08s10b00x00p04n01i01578ent IS
+ type bool is (t,f);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ next when t;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p04n01i01578 - The condition in a next statement has to be of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p04n01i01578arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1579.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1579.vhd
new file mode 100644
index 000000000..a25b0fad9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1579.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1579.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p04n01i01579ent IS
+END c08s10b00x00p04n01i01579ent;
+
+ARCHITECTURE c08s10b00x00p04n01i01579arch OF c08s10b00x00p04n01i01579ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ next when 't';
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p04n01i01579 - The condition in a next statement has to be of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p04n01i01579arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1580.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1580.vhd
new file mode 100644
index 000000000..4d832ed45
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1580.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1580.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s10b00x00p04n01i01580ent IS
+END c08s10b00x00p04n01i01580ent;
+
+ARCHITECTURE c08s10b00x00p04n01i01580arch OF c08s10b00x00p04n01i01580ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ next when "tt";
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s10b00x00p04n01i01580 - The condition in a next statement has to be of type boolean"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s10b00x00p04n01i01580arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1584.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1584.vhd
new file mode 100644
index 000000000..4415da4c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1584.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1584.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p02n01i01584ent IS
+END c08s11b00x00p02n01i01584ent;
+
+ARCHITECTURE c08s11b00x00p02n01i01584arch OF c08s11b00x00p02n01i01584ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ while i < 10 loop
+ exit
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p02n01i01584 - Missing semicolon in the loop statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p02n01i01584arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1586.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1586.vhd
new file mode 100644
index 000000000..85f673e2e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1586.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1586.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p02n01i01586ent IS
+END c08s11b00x00p02n01i01586ent;
+
+ARCHITECTURE c08s11b00x00p02n01i01586arch OF c08s11b00x00p02n01i01586ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for b in boolean loop
+ exit when b L1; -- label must precede when clause
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p02n01i01586 - Illegal clause ordering in exit statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p02n01i01586arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1588.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1588.vhd
new file mode 100644
index 000000000..5ff648a3b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1588.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1588.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01588ent IS
+END c08s11b00x00p03n01i01588ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01588arch OF c08s11b00x00p03n01i01588ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ end loop;
+ exit L;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01588 - An EXIT statement with a loop label must be in a loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01588arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc159.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc159.vhd
new file mode 100644
index 000000000..3583eef50
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc159.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc159.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p19n05i00159pkg is
+ type t is array (1 to 4) of integer;
+ procedure p (a: in t);
+end c04s03b02x02p19n05i00159pkg;
+
+package body c04s03b02x02p19n05i00159pkg is
+ procedure p (a: in t) is
+ begin
+ end p;
+end c04s03b02x02p19n05i00159pkg;
+
+
+use work.c04s03b02x02p19n05i00159pkg.all;
+ENTITY c04s03b02x02p19n05i00159ent IS
+END c04s03b02x02p19n05i00159ent;
+
+ARCHITECTURE c04s03b02x02p19n05i00159arch OF c04s03b02x02p19n05i00159ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 2;
+ BEGIN
+ p (t'(i => 12, others => 0)); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p19n05i00159 - Subelements of an association list may only be locally static names."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p19n05i00159arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1590.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1590.vhd
new file mode 100644
index 000000000..87f193a8c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1590.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1590.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01590ent IS
+END c08s11b00x00p03n01i01590ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01590arch OF c08s11b00x00p03n01i01590ent IS
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit K when i = 3;
+ end loop L;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01590 - The exit label does not match the loop label"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01590arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1591.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1591.vhd
new file mode 100644
index 000000000..b0c866273
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1591.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1591.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01591ent IS
+END c08s11b00x00p03n01i01591ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01591arch OF c08s11b00x00p03n01i01591ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 1;
+ variable K : integer := 1;
+ BEGIN
+ L : while i < 10 loop
+ exit K when i = 3;
+ end loop L;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01591 - The exit label does not match the loop label"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01591arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1592.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1592.vhd
new file mode 100644
index 000000000..9fe619a65
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1592.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1592.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01592ent IS
+END c08s11b00x00p03n01i01592ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01592arch OF c08s11b00x00p03n01i01592ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ exit L;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01592 - A loop label is not allowed in an exit statement which is in an unlabeled loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01592arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1593.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1593.vhd
new file mode 100644
index 000000000..98beffd5d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1593.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1593.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01593ent IS
+END c08s11b00x00p03n01i01593ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01593arch OF c08s11b00x00p03n01i01593ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ exit L;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01593 - A loop label is not allowed in an exit statement which is in an unlabeled loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01593arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1595.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1595.vhd
new file mode 100644
index 000000000..483aec5f7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1595.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1595.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01595ent IS
+END c08s11b00x00p03n01i01595ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01595arch OF c08s11b00x00p03n01i01595ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for i in 1 to 10 loop
+ end loop;
+ exit;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01595 - EXIT must be in a loop"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01595arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc160.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc160.vhd
new file mode 100644
index 000000000..961832813
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc160.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc160.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x02p19n04i00160pkg is
+ type rec_type is
+ record
+ a, b, c : integer;
+ end record;
+ procedure P1 (p : in rec_type; q: in integer; r: out integer);
+end c04s03b02x02p19n04i00160pkg;
+
+package body c04s03b02x02p19n04i00160pkg is
+ procedure P1 (p : in rec_type; q: in integer; r: out integer) is
+ begin
+ r := (p.a + p.b + p.c)/3 * q;
+ end;
+end c04s03b02x02p19n04i00160pkg;
+
+
+use work.c04s03b02x02p19n04i00160pkg.all;
+ENTITY c04s03b02x02p19n04i00160ent IS
+END c04s03b02x02p19n04i00160ent;
+
+ARCHITECTURE c04s03b02x02p19n04i00160arch OF c04s03b02x02p19n04i00160ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 1;
+ BEGIN
+ P1 (p.a => 1, p.b => 2, p.a => 3, p.c => 4, q => 12);
+ -- Failure_here
+ -- p.a named twice.
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p19n04i00160 - Subelements of an association list may only be assigned once."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p19n04i00160arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1602.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1602.vhd
new file mode 100644
index 000000000..935f780f7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1602.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1602.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p03n01i01602ent IS
+END c08s11b00x00p03n01i01602ent;
+
+ARCHITECTURE c08s11b00x00p03n01i01602arch OF c08s11b00x00p03n01i01602ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for i in 4 to 5 loop
+ exit TESTING; -- wrong label
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p03n01i01602 - Illegal label for exit statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p03n01i01602arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1604.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1604.vhd
new file mode 100644
index 000000000..c6ab5e993
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1604.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1604.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01604ent IS
+END c08s11b00x00p04n01i01604ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01604arch OF c08s11b00x00p04n01i01604ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when 'A';
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01604 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01604arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1605.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1605.vhd
new file mode 100644
index 000000000..377a2f2b8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1605.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1605.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01605ent IS
+END c08s11b00x00p04n01i01605ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01605arch OF c08s11b00x00p04n01i01605ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when k + 3;
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01605 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01605arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1609.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1609.vhd
new file mode 100644
index 000000000..86daec94d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1609.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1609.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01609ent IS
+END c08s11b00x00p04n01i01609ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01609arch OF c08s11b00x00p04n01i01609ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when 1.0;
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01609 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01609arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc161.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc161.vhd
new file mode 100644
index 000000000..dd3cb3d40
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc161.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc161.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p19n04i00161ent IS
+ PORT ( SIGNAL a : IN bit;
+ SIGNAL b : IN integer;
+ SIGNAL c : IN boolean;
+ SIGNAL d : IN time;
+ SIGNAL e,f : IN real;
+ SIGNAL oint : INOUT integer);
+END c04s03b02x02p19n04i00161ent;
+
+ARCHITECTURE c04s03b02x02p19n04i00161arch OF c04s03b02x02p19n04i00161ent IS
+ function funct1( fpar1 :bit :='1';
+ fpar2 :integer:=455;
+ fpar3 :boolean:=true;
+ fpar4 :time :=55.77 ns;
+ fpar5 :real :=34.558) return integer is
+ begin
+ return 1;
+ end funct1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 1 ns;
+ oint <= funct1(fpar5=>f,fpar3=>c,fpar2=>b,fpar1=>a,fpar4=>d,fpar5=>e);
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p19n04i00161 - Same formal parameter name can not be used more than once."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p19n04i00161arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1610.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1610.vhd
new file mode 100644
index 000000000..b9e654617
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1610.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1610.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01610ent IS
+END c08s11b00x00p04n01i01610ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01610arch OF c08s11b00x00p04n01i01610ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when 1;
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01610 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01610arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1611.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1611.vhd
new file mode 100644
index 000000000..74dd8696e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1611.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1611.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01611ent IS
+END c08s11b00x00p04n01i01611ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01611arch OF c08s11b00x00p04n01i01611ent IS
+ type bool is (t,f);
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when t;
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01611 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01611arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1612.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1612.vhd
new file mode 100644
index 000000000..aaa965113
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1612.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1612.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s11b00x00p04n01i01612ent IS
+END c08s11b00x00p04n01i01612ent;
+
+ARCHITECTURE c08s11b00x00p04n01i01612arch OF c08s11b00x00p04n01i01612ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ L : for i in 1 to 10 loop
+ exit L when "Tt";
+ k := i;
+ end loop;
+ assert FALSE
+ report "***FAILED TEST: c08s11b00x00p04n01i01612 - The condition in an exit statement must be of boolean type"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s11b00x00p04n01i01612arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1615.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1615.vhd
new file mode 100644
index 000000000..a9f184873
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1615.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1615.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p02n01i01615ent IS
+END c08s12b00x00p02n01i01615ent;
+
+ARCHITECTURE c08s12b00x00p02n01i01615arch OF c08s12b00x00p02n01i01615ent IS
+ function ts (x1:bit) return integer is
+ begin
+ return(1)
+ end ts;
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ k := ts('1');
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p02n01i01615 - Missing semicolon in the loop statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p02n01i01615arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1616.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1616.vhd
new file mode 100644
index 000000000..5f6ab37ab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1616.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1616.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01616ent IS
+END c08s12b00x00p03n01i01616ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01616arch OF c08s12b00x00p03n01i01616ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ variable j : boolean := true;
+ BEGIN
+ if j then return k;
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01616 - A return statement must be inside a subprogram body"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c08s12b00x00p03n01i01616arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1618.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1618.vhd
new file mode 100644
index 000000000..69d018cc8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1618.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1618.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01618ent IS
+END c08s12b00x00p03n01i01618ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01618arch OF c08s12b00x00p03n01i01618ent IS
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ return;
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01618 - A return statement is only allowed within the body of a function"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01618arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1620.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1620.vhd
new file mode 100644
index 000000000..de7a8aee6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1620.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1620.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01620ent IS
+ return true; -- illegal in entity declaration region.
+END c08s12b00x00p03n01i01620ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01620arch OF c08s12b00x00p03n01i01620ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01620 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01620arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1621.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1621.vhd
new file mode 100644
index 000000000..6d8a9874b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1621.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1621.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01621ent IS
+begin
+ return true; -- illegal in entity statement region.
+END c08s12b00x00p03n01i01621ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01621arch OF c08s12b00x00p03n01i01621ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01621 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01621arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1622.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1622.vhd
new file mode 100644
index 000000000..57d39084d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1622.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1622.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01622ent IS
+END c08s12b00x00p03n01i01622ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01622arch OF c08s12b00x00p03n01i01622ent IS
+ return true; -- illegal in architecture declaration region.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01622 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01622arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1623.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1623.vhd
new file mode 100644
index 000000000..3ce69e5b1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1623.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1623.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01623ent IS
+END c08s12b00x00p03n01i01623ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01623arch OF c08s12b00x00p03n01i01623ent IS
+
+BEGIN
+ return true; -- illegal in architecture statement region.
+
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01623 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01623arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1624.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1624.vhd
new file mode 100644
index 000000000..3ac18b728
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1624.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1624.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c08s12b00x00p03n01i01624pkg is
+ return true; -- illegal in package spec
+end c08s12b00x00p03n01i01624pkg;
+
+ENTITY c08s12b00x00p03n01i01624ent IS
+END c08s12b00x00p03n01i01624ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01624arch OF c08s12b00x00p03n01i01624ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01624 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01624arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1625.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1625.vhd
new file mode 100644
index 000000000..14111eecb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1625.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1625.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c08s12b00x00p03n01i01625pkg is
+end c08s12b00x00p03n01i01625pkg;
+
+package body c08s12b00x00p03n01i01625pkg is
+ return true; -- illegal in package
+ body
+end c08s12b00x00p03n01i01625pkg;
+
+ENTITY c08s12b00x00p03n01i01625ent IS
+END c08s12b00x00p03n01i01625ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01625arch OF c08s12b00x00p03n01i01625ent IS
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01625 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01625arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1626.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1626.vhd
new file mode 100644
index 000000000..6a28151c1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1626.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1626.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01626ent IS
+END c08s12b00x00p03n01i01626ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01626arch OF c08s12b00x00p03n01i01626ent IS
+
+BEGIN
+
+ B1: block
+ begin
+ return true; -- illegal in block statement
+ end block B1;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01626 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01626arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1627.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1627.vhd
new file mode 100644
index 000000000..1ca91091d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1627.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1627.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01627ent IS
+END c08s12b00x00p03n01i01627ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01627arch OF c08s12b00x00p03n01i01627ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+ return true; -- illegal in a process statement
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01627 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01627arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1628.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1628.vhd
new file mode 100644
index 000000000..a3ffa29f9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1628.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1628.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p03n01i01628ent IS
+END c08s12b00x00p03n01i01628ent;
+
+ARCHITECTURE c08s12b00x00p03n01i01628arch OF c08s12b00x00p03n01i01628ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+
+ L1: for b in boolean loop
+ return true; -- illegal in loop statement
+ end loop L1;
+
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p03n01i01628 - Return statement only allowed within the body of a function or procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p03n01i01628arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1629.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1629.vhd
new file mode 100644
index 000000000..47c3b0a07
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1629.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1629.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p04n01i01629ent IS
+END c08s12b00x00p04n01i01629ent;
+
+ARCHITECTURE c08s12b00x00p04n01i01629arch OF c08s12b00x00p04n01i01629ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 0;
+ procedure return_exp_check is
+ begin
+ i := 10;
+ return i;
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p04n01i01629 - A return statement in a procedure may not have an expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p04n01i01629arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1631.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1631.vhd
new file mode 100644
index 000000000..23dd73036
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1631.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1631.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p04n02i01631ent IS
+END c08s12b00x00p04n02i01631ent;
+
+ARCHITECTURE c08s12b00x00p04n02i01631arch OF c08s12b00x00p04n02i01631ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function return_exp_check return integer is
+ variable k : integer := 0;
+ begin
+ k := 10;
+ return;
+ end;
+ variable i : integer := 0;
+ BEGIN
+ i := return_exp_check;
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p04n02i01631 - Return statement in a function must have an expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p04n02i01631arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1632.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1632.vhd
new file mode 100644
index 000000000..db1ae2883
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1632.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1632.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p05n01i01632ent IS
+END c08s12b00x00p05n01i01632ent;
+
+ARCHITECTURE c08s12b00x00p05n01i01632arch OF c08s12b00x00p05n01i01632ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function f1(in1:real) return integer is
+ begin
+ return(1.2);
+ end f1;
+ variable k : integer := 0;
+ BEGIN
+ k := f1(1.5);
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p05n01i01632 - The return type must be the same base tyep declared in the specification of the function."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p05n01i01632arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1638.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1638.vhd
new file mode 100644
index 000000000..09cb72e14
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1638.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1638.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p05n03i01638ent IS
+END c08s12b00x00p05n03i01638ent;
+
+ARCHITECTURE c08s12b00x00p05n03i01638arch OF c08s12b00x00p05n03i01638ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function f1(in1:real) return integer is
+ begin
+ exit;
+ end;
+ variable k : integer := 0;
+ BEGIN
+ k := f1(1.2);
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p05n03i01638 - A function must be completed by a return statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p05n03i01638arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1640.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1640.vhd
new file mode 100644
index 000000000..83cc2f66b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1640.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1640.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s12b00x00p06n01i01640ent IS
+END c08s12b00x00p06n01i01640ent;
+
+ARCHITECTURE c08s12b00x00p06n01i01640arch OF c08s12b00x00p06n01i01640ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function ts (x1:bit) return integer is
+ begin
+ return ('1');
+ end ts;
+ variable k : integer := 0;
+ BEGIN
+ k := ts('1');
+ assert FALSE
+ report "***FAILED TEST: c08s12b00x00p06n01i01640 - Value of the expression is of different subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s12b00x00p06n01i01640arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1645.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1645.vhd
new file mode 100644
index 000000000..496f9734b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1645.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1645.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c08s13b00x00p02n01i01645ent IS
+END c08s13b00x00p02n01i01645ent;
+
+ARCHITECTURE c08s13b00x00p02n01i01645arch OF c08s13b00x00p02n01i01645ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ NULL
+ assert FALSE
+ report "***FAILED TEST: c08s13b00x00p02n01i01645 - Missing semicolon in the null statement"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c08s13b00x00p02n01i01645arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc165.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc165.vhd
new file mode 100644
index 000000000..eb30a1a7f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc165.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc165.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x02p23n01i00165ent IS
+ PORT (SIGNAL a : IN bit;
+ SIGNAL b : IN integer;
+ SIGNAL c : IN boolean;
+ SIGNAL d : IN time;
+ SIGNAL e : IN real;
+ SIGNAL oint : INOUT integer);
+END c04s03b02x02p23n01i00165ent;
+
+ARCHITECTURE c04s03b02x02p23n01i00165arch OF c04s03b02x02p23n01i00165ent IS
+ function funct1( fpar1 :bit :='1';
+ fpar2 :integer :=455;
+ fpar3 :boolean :=true;
+ fpar4 :time :=55.77 ns;
+ fpar5 :real :=34.558) return integer is
+ begin
+ return 1;
+ end funct1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 1 ns;
+ oint <= funct1(a,b,,d,e);
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x02p23n01i00165 - Positional association list is not allowed after the default expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x02p23n01i00165arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1652.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1652.vhd
new file mode 100644
index 000000000..6260569ad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1652.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1652.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s00b00x00p02n01i01652ent IS
+END c09s00b00x00p02n01i01652ent;
+
+ARCHITECTURE c09s00b00x00p02n01i01652arch OF c09s00b00x00p02n01i01652ent IS
+ signal S1 : integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 <= 0;
+ ;
+ assert FALSE
+ report "***FAILED TEST: c09s00b00x00p02n01i01652 - An empty statement is not permitted in a set of statements."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s00b00x00p02n01i01652arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1656.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1656.vhd
new file mode 100644
index 000000000..cf90fab62
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1656.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1656.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p02n01i01656ent IS
+END c09s01b00x00p02n01i01656ent;
+
+ARCHITECTURE c09s01b00x00p02n01i01656arch OF c09s01b00x00p02n01i01656ent IS
+
+BEGIN
+
+ block -- block label required, but missing
+ begin
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p02n01i01656 - Block label is required for block statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p02n01i01656arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1657.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1657.vhd
new file mode 100644
index 000000000..396d497be
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1657.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1657.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p02n01i01657ent IS
+END c09s01b00x00p02n01i01657ent;
+
+ARCHITECTURE c09s01b00x00p02n01i01657arch OF c09s01b00x00p02n01i01657ent IS
+
+BEGIN
+
+ lab : block ( ) --guard condition must not be empty
+ begin
+ end block lab;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p02n01i01657 - Guard condition must not be empty."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p02n01i01657arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1660.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1660.vhd
new file mode 100644
index 000000000..04f81cb3f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1660.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1660.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p02n01i01660ent IS
+END c09s01b00x00p02n01i01660ent;
+
+ARCHITECTURE c09s01b00x00p02n01i01660arch OF c09s01b00x00p02n01i01660ent IS
+
+BEGIN
+
+ B:block
+ signal D: BIT;
+ begin
+ D <= '1';
+ end; -- Failure_here
+ -- The reserved word block expected.
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p02n01i01660 - The reserved word block expected."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p02n01i01660arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1661.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1661.vhd
new file mode 100644
index 000000000..3666185d1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1661.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1661.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p02n01i01661ent IS
+END c09s01b00x00p02n01i01661ent;
+
+ARCHITECTURE c09s01b00x00p02n01i01661arch OF c09s01b00x00p02n01i01661ent IS
+
+BEGIN
+
+ B:block
+ signal D: BIT;
+ begin
+ D <= '1';
+ end block -- Failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p02n01i01661 - Semicolon expected."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c09s01b00x00p02n01i01661arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1663.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1663.vhd
new file mode 100644
index 000000000..fc0a2c94a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1663.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1663.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p03n01i01663ent IS
+ port (A, B: inout bit);
+END c09s01b00x00p03n01i01663ent;
+
+ARCHITECTURE c09s01b00x00p03n01i01663arch OF c09s01b00x00p03n01i01663ent IS
+ signal S1, S2, S3 : bit := '0';
+BEGIN
+
+ BL: block
+ port map (S1, S2); --Failure_here
+ begin
+ end block BL;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p03n01i01663 - A port map can not appear without being preceded by a port clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p03n01i01663arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1664.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1664.vhd
new file mode 100644
index 000000000..341a3a457
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1664.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1664.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p04n01i01664ent IS
+END c09s01b00x00p04n01i01664ent;
+
+ARCHITECTURE c09s01b00x00p04n01i01664arch OF c09s01b00x00p04n01i01664ent IS
+
+BEGIN
+ BBB: block
+ variable v: integer; -- Failure_here
+ begin
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p04n01i01664 - A variable declaration is not allowed in a block declarative part."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p04n01i01664arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1666.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1666.vhd
new file mode 100644
index 000000000..bd4f4323a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1666.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1666.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01666ent IS
+END c09s01b00x00p05n01i01666ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01666arch OF c09s01b00x00p05n01i01666ent IS
+
+BEGIN
+ B:block
+ signal A: BIT;
+ begin
+ if A then -- Failure_here
+ -- Sequential statement not allowed.
+ end if;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01666 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01666arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1667.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1667.vhd
new file mode 100644
index 000000000..b77ca3a21
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1667.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1667.vhd,v 1.2 2001-10-26 16:30:11 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01667ent IS
+END c09s01b00x00p05n01i01667ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01667arch OF c09s01b00x00p05n01i01667ent IS
+
+BEGIN
+ B:block
+ variable err : boolean := true; -- illegal location for variable decl
+ begin
+ err := true; -- illegal location for variable assignment
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01667 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01667arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1668.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1668.vhd
new file mode 100644
index 000000000..e0f22ed0e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1668.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1668.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01668ent IS
+END c09s01b00x00p05n01i01668ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01668arch OF c09s01b00x00p05n01i01668ent IS
+
+BEGIN
+ B:block
+ signal err : boolean := true;
+ begin
+ case err is -- illegal location for case statement
+ when true | false =>
+ assert false
+ report "'case' statement accepted in an entity statement."
+ severity note ;
+ end case;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01668 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01668arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1669.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1669.vhd
new file mode 100644
index 000000000..0d961199e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1669.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1669.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01669ent IS
+END c09s01b00x00p05n01i01669ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01669arch OF c09s01b00x00p05n01i01669ent IS
+
+BEGIN
+ B:block
+
+ begin
+ L: loop -- illegal location for loop statement
+ end loop L;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01669 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01669arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1670.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1670.vhd
new file mode 100644
index 000000000..a40df3819
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1670.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1670.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01670ent IS
+END c09s01b00x00p05n01i01670ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01670arch OF c09s01b00x00p05n01i01670ent IS
+
+BEGIN
+ B:block
+
+ begin
+ next; -- illegal location for next statement
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01670 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01670arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1671.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1671.vhd
new file mode 100644
index 000000000..e1e377bb0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1671.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1671.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01671ent IS
+END c09s01b00x00p05n01i01671ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01671arch OF c09s01b00x00p05n01i01671ent IS
+
+BEGIN
+ B:block
+
+ begin
+ exit; -- illegal location for exit statement
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01671 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01671arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1672.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1672.vhd
new file mode 100644
index 000000000..91128c126
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1672.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1672.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01672ent IS
+END c09s01b00x00p05n01i01672ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01672arch OF c09s01b00x00p05n01i01672ent IS
+
+BEGIN
+ B:block
+
+ begin
+ return; -- illegal location for return statement
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01672 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01672arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1673.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1673.vhd
new file mode 100644
index 000000000..d43a495d0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1673.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1673.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p05n01i01673ent IS
+END c09s01b00x00p05n01i01673ent;
+
+ARCHITECTURE c09s01b00x00p05n01i01673arch OF c09s01b00x00p05n01i01673ent IS
+
+BEGIN
+ B:block
+
+ begin
+ null; -- illegal location for null statement
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p05n01i01673 - Sequential statement not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p05n01i01673arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1674.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1674.vhd
new file mode 100644
index 000000000..a747416e5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1674.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1674.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p06n02i01674ent IS
+ generic ( width: Positive);
+ port ( inword: in Bit_Vector (width-1 downto 0);
+ load: in Bit;
+ outword:out Bit_Vector (width-1 downto 0));
+END c09s01b00x00p06n02i01674ent;
+
+ARCHITECTURE c09s01b00x00p06n02i01674arch OF c09s01b00x00p06n02i01674ent IS
+
+BEGIN
+
+ B: block (1 + 2 + 3) -- Failure_here
+ begin
+ outword <= guarded inword after 10 ns;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p06n02i01674 - Guard expression can not be the type of integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p06n02i01674arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1676.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1676.vhd
new file mode 100644
index 000000000..04211d324
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1676.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1676.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p07n01i01676ent IS
+ port (p: in boolean);
+END c09s01b00x00p07n01i01676ent;
+
+ARCHITECTURE c09s01b00x00p07n01i01676arch OF c09s01b00x00p07n01i01676ent IS
+
+BEGIN
+
+ B: block (p)
+ begin
+ GUARD <= p; -- Failure_here
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p07n01i01676 - Implicit signal GUARD can not have a source."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p07n01i01676arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1680.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1680.vhd
new file mode 100644
index 000000000..459558cf5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1680.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1680.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s01b00x00p09n01i01680ent IS
+END c09s01b00x00p09n01i01680ent;
+
+ARCHITECTURE c09s01b00x00p09n01i01680arch OF c09s01b00x00p09n01i01680ent IS
+
+BEGIN
+
+ lab : block
+ begin
+ end block lab2; -- labels must match, but don't
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s01b00x00p09n01i01680 - Labels appears at the end of a block statement must match the block label."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s01b00x00p09n01i01680arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1682.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1682.vhd
new file mode 100644
index 000000000..c7d2d2420
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1682.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1682.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p02n01i01682ent IS
+END c09s02b00x00p02n01i01682ent;
+
+ARCHITECTURE c09s02b00x00p02n01i01682arch OF c09s02b00x00p02n01i01682ent IS
+
+BEGIN
+ TESTING: PROCESS( )
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p02n01i01682 - Empty sensitivity list is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p02n01i01682arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1683.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1683.vhd
new file mode 100644
index 000000000..737b1a74a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1683.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1683.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p02n01i01683ent IS
+ port (A : bit);
+END c09s02b00x00p02n01i01683ent;
+
+ARCHITECTURE c09s02b00x00p02n01i01683arch OF c09s02b00x00p02n01i01683ent IS
+ signal B : bit;
+BEGIN
+ TESTING PROCESS( A ) -- Failure here
+ -- Colon is missing
+ BEGIN
+ B <= A;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p02n01i01683 - Colon is missing between the label and the reserved word process."
+ severity ERROR;
+ END PROCESS TESTING;
+
+ END c09s02b00x00p02n01i01683arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1685.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1685.vhd
new file mode 100644
index 000000000..bcf7a6c4c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1685.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1685.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p02n01i01685ent IS
+ port (A : bit);
+END c09s02b00x00p02n01i01685ent;
+
+ARCHITECTURE c09s02b00x00p02n01i01685arch OF c09s02b00x00p02n01i01685ent IS
+ signal B : bit;
+BEGIN
+
+ P1:process (A)
+ begin
+ B <= A;
+ end ; -- Failure_here
+ -- the reserved word 'process' expected.
+
+ TESTING : PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p02n01i01685 - Reserved word process is missing after the reserved word end."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p02n01i01685arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1686.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1686.vhd
new file mode 100644
index 000000000..561d2b943
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1686.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1686.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p02n01i01686ent IS
+ port (A : bit);
+END c09s02b00x00p02n01i01686ent;
+
+ARCHITECTURE c09s02b00x00p02n01i01686arch OF c09s02b00x00p02n01i01686ent IS
+ signal B : bit;
+BEGIN
+
+ P1:process (A)
+ begin
+ B <= A;
+ end process P1 -- Failure_here
+ -- Semicolon is missing.
+
+ TESTING : PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p02n01i01686 - Semicolon is missing at the end of a process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c09s02b00x00p02n01i01686arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1687.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1687.vhd
new file mode 100644
index 000000000..253e6cf76
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1687.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1687.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01687ent IS
+ port (B:Bit);
+END c09s02b00x00p03n01i01687ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01687arch OF c09s02b00x00p03n01i01687ent IS
+
+BEGIN
+ TESTING: PROCESS(B)
+ component C1 port ( B : BIT ); -- illegal: no component declaration here
+ end component ;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01687 - Component declarations are not permitted in process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01687arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1688.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1688.vhd
new file mode 100644
index 000000000..bb09bcadf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1688.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1688.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01688ent IS
+ port (B:Bit);
+END c09s02b00x00p03n01i01688ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01688arch OF c09s02b00x00p03n01i01688ent IS
+
+BEGIN
+ TESTING: PROCESS(B)
+ signal S : Bit; --illegal: no signal declaration here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01688 - Signal declarations are not permitted in process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01688arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1689.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1689.vhd
new file mode 100644
index 000000000..f124ccbec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1689.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1689.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01689ent IS
+ port (B:Bit);
+END c09s02b00x00p03n01i01689ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01689arch OF c09s02b00x00p03n01i01689ent IS
+ component C1 port ( B : BIT );
+ end component ;
+ signal S1 : BIT ;
+BEGIN
+ TESTING: PROCESS(B)
+ for all : C1 use entity work.E -- illegal: no configuration spec here
+ port map ( S1 => B ) ;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01689 - Configuration declarations are not permitted in process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01689arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1692.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1692.vhd
new file mode 100644
index 000000000..527cf73ce
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1692.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1692.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01692ent IS
+ port (B:BIT; C:out BIT) ;
+END c09s02b00x00p03n01i01692ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01692arch OF c09s02b00x00p03n01i01692ent IS
+
+BEGIN
+ process
+ port map (X=>I1, Y => i2); -- Failure_here
+ -- SEMANTICS ERROR: interface declaration may not
+ -- be in a process statement
+ begin
+ null;
+ end process;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01692 - Interface declarations are not allowed in process statements."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01692arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1693.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1693.vhd
new file mode 100644
index 000000000..db3a079f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1693.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1693.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01693ent IS
+ port (B:BIT; C:out BIT) ;
+END c09s02b00x00p03n01i01693ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01693arch OF c09s02b00x00p03n01i01693ent IS
+
+BEGIN
+ process
+ begin
+ architecture B6 of E1 is -- Failure_here
+ --SEMANTICS ERROR: body declarations may not be in a process statement.
+ begin
+ process
+ begin
+ null;
+ end process;
+ end B6;
+ null;
+ end process;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01693 - Body declarations are not allowed in process statements."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c09s02b00x00p03n01i01693arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1694.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1694.vhd
new file mode 100644
index 000000000..3d50d6cb8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1694.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1694.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01694ent IS
+END c09s02b00x00p03n01i01694ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01694arch OF c09s02b00x00p03n01i01694ent IS
+
+BEGIN
+ TEST_PROCESS: process
+ -- Illegal Configuration specification.
+ for all : TEST use entity TEST( TEST_BEHAVIOR );
+ begin
+ end process TEST_PROCESS;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01694 - Configuration specifications may not be declared inside a process."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01694arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1695.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1695.vhd
new file mode 100644
index 000000000..02917be7c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1695.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1695.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p03n01i01695ent IS
+END c09s02b00x00p03n01i01695ent;
+
+ARCHITECTURE c09s02b00x00p03n01i01695arch OF c09s02b00x00p03n01i01695ent IS
+
+BEGIN
+ TEST_PROCESS: process
+ -- Illegal Disconnection specification. ERROR:
+ disconnect all : BIT after 0 ns;
+ begin
+ end process TEST_PROCESS;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p03n01i01695 - Disconnection specifications may not be declared inside a process."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p03n01i01695arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1696.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1696.vhd
new file mode 100644
index 000000000..3c26051a8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1696.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1696.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01696ent IS
+END c09s02b00x00p05n01i01696ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01696arch OF c09s02b00x00p05n01i01696ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ process -- ERROR:
+ begin
+ wait;
+ end process;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01696 - Process statements are illegal inside the body a process."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01696arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1697.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1697.vhd
new file mode 100644
index 000000000..4ebf6bb2a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1697.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1697.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01697ent IS
+END c09s02b00x00p05n01i01697ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01697arch OF c09s02b00x00p05n01i01697ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ B:block -- ERROR:
+ begin
+ end block B;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01697 - Block statements are illegal inside a process."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01697arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1699.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1699.vhd
new file mode 100644
index 000000000..c9566e461
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1699.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1699.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01699ent IS
+END c09s02b00x00p05n01i01699ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01699arch OF c09s02b00x00p05n01i01699ent IS
+
+ procedure conc_proc is
+ begin
+ assert false
+ report "Labeled procedure call allowed in process statement."
+ severity note ;
+ end conc_proc;
+
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN -- only concurrent procedure may be labeled.
+ P1: conc_proc; -- illegal location for concurrent procedure
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01699 - Process statement can only have sequential statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01699arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc170.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc170.vhd
new file mode 100644
index 000000000..05f83fecd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc170.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc170.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b03x01p03n01i00170ent IS
+END c04s03b03x01p03n01i00170ent;
+
+ARCHITECTURE c04s03b03x01p03n01i00170arch OF c04s03b03x01p03n01i00170ent IS
+ type x is range 1 to 10;
+ signal Addr : bit;
+ alias SIGN1 : x is x; -- fails_here
+ alias SIGN2 : bit is Addr;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s03b03x01p03n01i00170 - The name referred to in the alias declaration for SIGN1 is not a static name that refers to an object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b03x01p03n01i00170arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1700.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1700.vhd
new file mode 100644
index 000000000..c86a04fdb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1700.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1700.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01700ent IS
+END c09s02b00x00p05n01i01700ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01700arch OF c09s02b00x00p05n01i01700ent IS
+
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+ --
+ -- Test concurrent assertion statement
+ -- Note: only the concurrent version may be labeled
+ --
+ A1: assert false -- illegal location for assert statement
+ report "Labeled assertion allowed in process statement."
+ severity note ;
+
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01700 - Process statement can only have sequential statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01700arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1701.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1701.vhd
new file mode 100644
index 000000000..4274e164f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1701.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1701.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01701ent IS
+END c09s02b00x00p05n01i01701ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01701arch OF c09s02b00x00p05n01i01701ent IS
+ signal b_sig : boolean := true;
+BEGIN
+
+ TESTING: PROCESS
+ variable trigger : integer := 0;
+ BEGIN
+ --
+ -- Test concurrent conditional signal assignment
+ --
+ b_sig <= false when trigger = 0 else -- illegal loc for conc statement
+ true;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01701 - Process statement can only have sequential statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01701arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1702.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1702.vhd
new file mode 100644
index 000000000..b91f468ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1702.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1702.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p05n01i01702ent IS
+END c09s02b00x00p05n01i01702ent;
+
+ARCHITECTURE c09s02b00x00p05n01i01702arch OF c09s02b00x00p05n01i01702ent IS
+ signal b_sig : boolean := true;
+BEGIN
+
+ TESTING: PROCESS
+ variable trigger : integer := 0;
+ BEGIN
+ --
+ -- Test concurrent selected signal assignment
+ --
+ with trigger select -- illegal concurrent selected signal assignment
+ b_sig <= false when 0
+ true when others;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p05n01i01702 - Process statement can only have sequential statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p05n01i01702arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1706.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1706.vhd
new file mode 100644
index 000000000..5cfe83718
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1706.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1706.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p07n01i01706ent IS
+END c09s02b00x00p07n01i01706ent;
+
+ARCHITECTURE c09s02b00x00p07n01i01706arch OF c09s02b00x00p07n01i01706ent IS
+ signal b_sig : boolean := true;
+BEGIN
+ TESTING: PROCESS( b_sig )
+ BEGIN
+ wait on b_sig; -- illegal location for wait statement
+
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p07n01i01706 - Wait statement in process with explicitly sensitivity list is illegal."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c09s02b00x00p07n01i01706arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1712.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1712.vhd
new file mode 100644
index 000000000..5f18af5f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1712.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1712.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p10n01i01712ent IS
+ port (signal max : in natural);
+
+ type word is array (natural range <>) of bit;
+ subtype mem_array is word (0 to 7);
+END c09s02b00x00p10n01i01712ent;
+
+ARCHITECTURE c09s02b00x00p10n01i01712arch OF c09s02b00x00p10n01i01712ent IS
+ signal idx : natural;
+ signal mem : mem_array;
+BEGIN
+ TESTING: PROCESS(mem(0 to idx))
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p10n01i01712 - Process sensitivity list with array slices bounded can not be a varialbe."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c09s02b00x00p10n01i01712arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1713.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1713.vhd
new file mode 100644
index 000000000..8a6992ccb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1713.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1713.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p10n01i01713ent IS
+ port (signal max : in natural);
+
+ type word is array (natural range <>) of bit;
+ subtype mem_array is word (0 to 7);
+END c09s02b00x00p10n01i01713ent;
+
+ARCHITECTURE c09s02b00x00p10n01i01713arch OF c09s02b00x00p10n01i01713ent IS
+ signal idx : natural;
+ signal mem : mem_array;
+BEGIN
+ TESTING: PROCESS(mem_array'(others => '1'))
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p10n01i01713 - Process sensitivity list can not be a qualified aggregate."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c09s02b00x00p10n01i01713arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1714.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1714.vhd
new file mode 100644
index 000000000..3330f1dcc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1714.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1714.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p10n01i01714ent IS
+ port (signal max : in natural);
+
+ type word is array (natural range <>) of bit;
+ subtype mem_array is word (0 to 7);
+END c09s02b00x00p10n01i01714ent;
+
+ARCHITECTURE c09s02b00x00p10n01i01714arch OF c09s02b00x00p10n01i01714ent IS
+ signal idx : natural;
+ signal mem : mem_array;
+BEGIN
+ TESTING: PROCESS(bit_vector'("10101"))
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p10n01i01714 - Process sensitivity list can not be a qualified string."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c09s02b00x00p10n01i01714arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1715.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1715.vhd
new file mode 100644
index 000000000..eb08164fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1715.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1715.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p10n01i01715ent IS
+ port (signal max : in natural;
+ signal mox : out natural);
+END c09s02b00x00p10n01i01715ent;
+
+ARCHITECTURE c09s02b00x00p10n01i01715arch OF c09s02b00x00p10n01i01715ent IS
+
+BEGIN
+ TESTING: PROCESS(mox)
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p10n01i01715 - Signal with mode OUT can not be list in sensitivity list."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c09s02b00x00p10n01i01715arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1716.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1716.vhd
new file mode 100644
index 000000000..c9097d1c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1716.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1716.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s02b00x00p11n02i01716ent IS
+END c09s02b00x00p11n02i01716ent;
+
+ARCHITECTURE c09s02b00x00p11n02i01716arch OF c09s02b00x00p11n02i01716ent IS
+
+BEGIN
+ TESTIN: PROCESS
+ BEGIN
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c09s02b00x00p11n02i01716 - The label appear at the end of a process statement, it must repeat the process label."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s02b00x00p11n02i01716arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1722.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1722.vhd
new file mode 100644
index 000000000..fa82f55d6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1722.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1722.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c12s06b01x00p03n01i01722ent IS
+END c12s06b01x00p03n01i01722ent;
+
+ARCHITECTURE c12s06b01x00p03n01i01722arch OF c12s06b01x00p03n01i01722ent IS
+ signal k : bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ k <= ;
+ assert FALSE
+ report "***FAILED TEST: c12s06b01x00p03n01i01722 - A driver always contains at least one transaction."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c12s06b01x00p03n01i01722arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1726.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1726.vhd
new file mode 100644
index 000000000..277f46c2c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1726.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1726.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c12s06b01x00p04n01i01726ent IS
+END c12s06b01x00p04n01i01726ent;
+
+ARCHITECTURE c12s06b01x00p04n01i01726arch OF c12s06b01x00p04n01i01726ent IS
+ signal clk : bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ --
+ -- The signal assignment below tries to make two
+ -- assignments at the same (current) time.
+ --
+ clk <= '0' after 20 ns,
+ '1' after 20 ns;
+ assert FALSE
+ report "***FAILED TEST: c12s06b01x00p04n01i01726 - The signal assignment can not make two assignment at the same (20 ns) time."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c12s06b01x00p04n01i01726arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1730.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1730.vhd
new file mode 100644
index 000000000..6fae1777e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1730.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1730.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s03b00x00p02n01i01730ent IS
+ port (signal AA,BB: in bit);
+END c09s03b00x00p02n01i01730ent;
+
+ARCHITECTURE c09s03b00x00p02n01i01730arch OF c09s03b00x00p02n01i01730ent IS
+
+ procedure P1 (signal A,B: in bit; signal C: out bit) is
+ begin
+ C <= A and B;
+ end;
+
+ signal CC : bit;
+BEGIN
+
+ PROC P1 (AA,BB,CC); -- Failure_here
+ -- Colon is misssing
+
+ assert FALSE
+ report "***FAILED TEST: c09s03b00x00p02n01i01730 - Colon between the label and a procedure call statement is missing."
+ severity ERROR;
+
+END c09s03b00x00p02n01i01730arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1731.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1731.vhd
new file mode 100644
index 000000000..5a2f2e885
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1731.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1731.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s03b00x00p04n01i01731ent IS
+ port (signal bool : inout boolean := true);
+END c09s03b00x00p04n01i01731ent;
+
+ARCHITECTURE c09s03b00x00p04n01i01731arch OF c09s03b00x00p04n01i01731ent IS
+ procedure var_param ( variable var : inout boolean
+ ) is
+ begin
+ var := false;
+ end var_param;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ var_param(bool);
+ assert FALSE
+ report "***FAILED TEST: c09s03b00x00p04n01i01731 - Illegal variable formal parameter in procedure call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s03b00x00p04n01i01731arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc174.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc174.vhd
new file mode 100644
index 000000000..96988b45c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc174.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc174.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b03x01p03n02i00174ent IS
+END c04s03b03x01p03n02i00174ent;
+
+ARCHITECTURE c04s03b03x01p03n02i00174arch OF c04s03b03x01p03n02i00174ent IS
+ signal Data : integer;
+ alias SIGN : bit is Data; -- Failure_here
+ -- Data is of type integer and not bit
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ Data <= 100 after 50 ns;
+ wait for 50 ns;
+ assert FALSE
+ report "***FAILED TEST: c04s03b03x01p03n02i00174 - Alias base type does not match subtype indication."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b03x01p03n02i00174arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1741.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1741.vhd
new file mode 100644
index 000000000..1b29cd19b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1741.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1741.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p02n01i01741ent IS
+ port (clk : inout bit);
+END c09s05b00x00p02n01i01741ent;
+
+ARCHITECTURE c09s05b00x00p02n01i01741arch OF c09s05b00x00p02n01i01741ent IS
+ constant period : Time := 50 ns;
+BEGIN
+ osc clk <= not clk after period/2; -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p02n01i01741 - Colon is missing between the label and concurrent signal assignment statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b00x00p02n01i01741arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1742.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1742.vhd
new file mode 100644
index 000000000..12f080bc5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1742.vhd
@@ -0,0 +1,42 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1742.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p03n01i01742ent IS
+END c09s05b00x00p03n01i01742ent;
+
+ARCHITECTURE c09s05b00x00p03n01i01742arch OF c09s05b00x00p03n01i01742ent IS
+ signal err : bit;
+BEGIN
+ err <= transport guarded '1';
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p03n01i01742 - Guarded must appear precede transport."
+ severity ERROR;
+
+END c09s05b00x00p03n01i01742arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1743.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1743.vhd
new file mode 100644
index 000000000..93d509302
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1743.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1743.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p03n01i01743ent IS
+END c09s05b00x00p03n01i01743ent;
+
+ARCHITECTURE c09s05b00x00p03n01i01743arch OF c09s05b00x00p03n01i01743ent IS
+ signal err : bit;
+BEGIN
+ B : block
+ begin
+ err <= transport guarded '1';
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p03n01i01743 - Reserved word guarded must appear precede transport."
+ severity ERROR;
+ end block B;
+
+END c09s05b00x00p03n01i01743arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1746.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1746.vhd
new file mode 100644
index 000000000..3000f21dd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1746.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1746.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p06n03i01746ent IS
+END c09s05b00x00p06n03i01746ent;
+
+ARCHITECTURE c09s05b00x00p06n03i01746arch OF c09s05b00x00p06n03i01746ent IS
+ type a is array (1 to 4) of boolean;
+ type arrbool is array (positive range <>) of boolean;
+
+ function F (BB: arrbool) return boolean is
+ begin
+ return false;
+ end;
+
+ signal i, j : F boolean bus := true;
+ signal k, l : boolean := true;
+ signal m : a := (true, false, true, false);
+BEGIN
+ (i, j, k, l) <= transport a'(m(1), m(2), m(3), m(4)) after 10 ns;
+ -- Failure_here
+ -- i and j are guarded signals and k and l are unguarded signals.
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p06n03i01746 - Guarded signal and Ungarded signal is mixed used."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b00x00p06n03i01746arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc175.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc175.vhd
new file mode 100644
index 000000000..03c74ee20
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc175.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc175.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b03x01p03n02i00175ent IS
+END c04s03b03x01p03n02i00175ent;
+
+ARCHITECTURE c04s03b03x01p03n02i00175arch OF c04s03b03x01p03n02i00175ent IS
+ signal Addr : bit;
+ alias SIGN1 : integer is Addr; -- Failure_here
+ -- error as Addr is of type bit
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c04s03b03x01p03n02i00175 - Alias base type does not match subtype indication."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b03x01p03n02i00175arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1750.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1750.vhd
new file mode 100644
index 000000000..2cf75de47
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1750.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1750.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p21n01i01750ent IS
+ generic (g1: integer := 12);
+ port (
+ input1: in bit ;
+ input2: in bit ;
+ clk : in boolean;
+ output: out bit);
+END c09s05b00x00p21n01i01750ent;
+
+ARCHITECTURE c09s05b00x00p21n01i01750arch OF c09s05b00x00p21n01i01750ent IS
+ type boolvec is array (positive range <>) of boolean;
+ function F (BB: boolvec) return boolean is
+ begin
+ return TRUE;
+ end;
+
+ signal i : F boolean bus;
+ signal k : boolean ;
+BEGIN
+ i <= transport k; -- Failure_here
+ -- i is a guarded target while the statement is not a guarded assignment
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p21n01i01750 - Ungarded signal can not assign to a guarded signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b00x00p21n01i01750arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1751.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1751.vhd
new file mode 100644
index 000000000..fa6d707bf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1751.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1751.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p25n01i01751ent IS
+END c09s05b00x00p25n01i01751ent;
+
+ARCHITECTURE c09s05b00x00p25n01i01751arch OF c09s05b00x00p25n01i01751ent IS
+ type a is array (1 to 4) of boolean;
+ type arr_bvec is array (positive range <>) of a;
+
+ function F (AB: arr_bvec) return a is
+ begin
+ return (true,true,true,true);
+ end;
+
+ signal G : bit;
+ signal i : F a bus;
+ signal m : a := (true, false, true, false);
+ constant c1, c2 : integer := 1;
+BEGIN
+ G <= '1' after 10 ns;
+
+ B1: block(G = '1')
+ begin
+ (i(1), i(2), i(3), i(4)) <= guarded a'(true, false, false, true);
+ (i(c1), i(c2), i(3), i(4)) <= guarded a'(true, false, false, true);
+ -- Failure_here : i(c1) and i(c2) are same signal names
+ (i(1), i(2), i(3), i(1)) <= guarded a'(true, false, false, true);
+ -- Failure_here : i(1) appears twice
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ wait for 50 ns;
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p25n01i01751 - No two signal names may identify the same object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b00x00p25n01i01751arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1752.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1752.vhd
new file mode 100644
index 000000000..671f7023a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1752.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1752.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p25n01i01752ent IS
+ generic (g1: integer := 12);
+ port (
+ input1: in bit ;
+ input2: in bit ;
+ clk : in boolean;
+ output: out bit);
+END c09s05b00x00p25n01i01752ent;
+
+ARCHITECTURE c09s05b00x00p25n01i01752arch OF c09s05b00x00p25n01i01752ent IS
+ type a is array (1 to 4) of boolean;
+ signal i : a;
+BEGIN
+ (i(g1), i(2), i(3), i(4)) <= a'(true, false, false, true);
+ -- Failure_here : i(g1) is not a locally static name
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p25n01i01752 - Only locally static signal names may contain here."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b00x00p25n01i01752arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1754.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1754.vhd
new file mode 100644
index 000000000..d2542bcec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1754.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1754.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p26n01i01754ent IS
+END c09s05b00x00p26n01i01754ent;
+
+ARCHITECTURE c09s05b00x00p26n01i01754arch OF c09s05b00x00p26n01i01754ent IS
+ signal err : bit;
+BEGIN
+ B : block
+ BEGIN
+ err <= null;
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p26n01i01754 - Null waveform element can not appear in a waveform of a concurrent signal assignment statement."
+ severity ERROR;
+ END block B;
+
+END c09s05b00x00p26n01i01754arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1755.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1755.vhd
new file mode 100644
index 000000000..e65d8930e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1755.vhd
@@ -0,0 +1,42 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1755.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b00x00p26n01i01755ent IS
+END c09s05b00x00p26n01i01755ent;
+
+ARCHITECTURE c09s05b00x00p26n01i01755arch OF c09s05b00x00p26n01i01755ent IS
+ signal err : bit;
+BEGIN
+ err <= null;
+ assert FALSE
+ report "***FAILED TEST: c09s05b00x00p26n01i01755 - Null waveform element can not appear in a waveform of a concurrent signal assignment statement."
+ severity ERROR;
+
+END c09s05b00x00p26n01i01755arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1758.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1758.vhd
new file mode 100644
index 000000000..91d7a5b0b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1758.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1758.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b01x00p02n01i01758ent IS
+END c09s05b01x00p02n01i01758ent;
+
+ARCHITECTURE c09s05b01x00p02n01i01758arch OF c09s05b01x00p02n01i01758ent IS
+ signal A,B : bit;
+BEGIN
+ CONSIG: A <= transport '1' when B = '1' else
+ transport '0'; -- Failure_here
+ -- an option not allowed.
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b01x00p02n01i01758 - An option can not insert in conditional waveforms."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b01x00p02n01i01758arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1759.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1759.vhd
new file mode 100644
index 000000000..c588d748c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1759.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1759.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b01x00p03n01i01759ent IS
+ generic (g1: integer := 12);
+ port (
+ input1: in bit ;
+ input2: in bit ;
+ clk : in boolean;
+ output: out bit);
+END c09s05b01x00p03n01i01759ent;
+
+ARCHITECTURE c09s05b01x00p03n01i01759arch OF c09s05b01x00p03n01i01759ent IS
+ signal local : boolean;
+ signal local1 : boolean;
+BEGIN
+ local1 <= not (clk) when local = true else
+ not (local) when clk = false else
+ clk when local = false else
+ local when clk = true else
+ not (clk) or not (local) when clk = true; -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b01x00p03n01i01759 - A conditional signal assignment can not end with a condition."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b01x00p03n01i01759arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1760.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1760.vhd
new file mode 100644
index 000000000..1343cd678
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1760.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1760.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b01x00p21n01i01760ent IS
+ port (PT: inout integer; PT2: inout character);
+END c09s05b01x00p21n01i01760ent;
+
+ARCHITECTURE c09s05b01x00p21n01i01760arch OF c09s05b01x00p21n01i01760ent IS
+ signal S1, S2 : boolean;
+BEGIN
+ PT <= 5 when S1 /= S2 else
+ 6 when S1 > S2 else
+ 7 when S1 + S2 else -- Failure_here
+ --ERROR conditions must be like those of
+ -- an if statement in a process statement
+ 9;
+
+ PT2 <= 'A' when S1 - S2 else -- Failure_here
+ --ERROR the waveform must be like if statement
+ -- in a process statement.
+ 'B' when S2 = S2 else
+ 'C' ;
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b01x00p21n01i01760 - The if statement must be such that it is in a process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b01x00p21n01i01760arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1764.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1764.vhd
new file mode 100644
index 000000000..3a7051cc8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1764.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1764.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p02n01i01764ent IS
+END c09s05b02x00p02n01i01764ent;
+
+ARCHITECTURE c09s05b02x00p02n01i01764arch OF c09s05b02x00p02n01i01764ent IS
+ signal TS: integer;
+ signal B: bit;
+BEGIN
+
+ with B
+ TS <= transport 1 when '0', -- Failure_here
+ -- the reserved word 'select' is missing
+ 2 when '1';
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p02n01i01764 - the reserved word select is missing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p02n01i01764arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1765.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1765.vhd
new file mode 100644
index 000000000..4b793cbe3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1765.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1765.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p02n01i01765ent IS
+END c09s05b02x00p02n01i01765ent;
+
+ARCHITECTURE c09s05b02x00p02n01i01765arch OF c09s05b02x00p02n01i01765ent IS
+ signal TS : integer;
+ signal B : bit;
+BEGIN
+
+ with B select
+ TS <= transport 1 when '0',
+ transport 2 when '1'; -- Failure_here
+ -- option not allowed
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p02n01i01765 - Option is not allowed here."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p02n01i01765arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1767.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1767.vhd
new file mode 100644
index 000000000..5ffe24409
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1767.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1767.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p03n01i01767ent IS
+END c09s05b02x00p03n01i01767ent;
+
+ARCHITECTURE c09s05b02x00p03n01i01767arch OF c09s05b02x00p03n01i01767ent IS
+
+ signal TS : integer;
+ signal B : bit;
+
+BEGIN
+
+ with B select
+ TS <= transport 1 when '0'
+ 2 when '1'; -- Failure_here
+ -- comma is missing
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p03n01i01767 - Comma is missing between conditional waveforms."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p03n01i01767arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1768.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1768.vhd
new file mode 100644
index 000000000..5a4b282a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1768.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1768.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01768ent IS
+END c09s05b02x00p11n01i01768ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01768arch OF c09s05b02x00p11n01i01768ent IS
+ signal i,j : real := 1.0;
+BEGIN
+
+ with i select -- Failure_here
+ j <= transport 1.0 when 1.0,
+ 0.2 when 0.2,
+ 1.0e24 when 0.0,
+ 0.0 when others;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01768 - Select expression in a selected assignment statement should be a discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01768arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1769.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1769.vhd
new file mode 100644
index 000000000..fd75275c4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1769.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1769.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01769ent IS
+END c09s05b02x00p11n01i01769ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01769arch OF c09s05b02x00p11n01i01769ent IS
+ type x is (Jan,Feb,Mar);
+ signal y : x;
+ signal Month_Num : integer;
+BEGIN
+
+ with y select
+ Month_num <= transport 1 when Jan,
+ 2 when Feb,
+ 3 when Mar,
+ 4 when Jan; -- Failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01769 - Select expression in a selected assignment statement can not appear more than one choice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01769arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc177.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc177.vhd
new file mode 100644
index 000000000..15a416317
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc177.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc177.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b03x01p03n02i00177ent IS
+END c04s03b03x01p03n02i00177ent;
+
+ARCHITECTURE c04s03b03x01p03n02i00177arch OF c04s03b03x01p03n02i00177ent IS
+ type array1 is array (positive range <>, natural range <>) of integer;
+ signal c1 : array1(1 to 8, 0 to 7);
+ alias one_bit : array1 is c1; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c04s03b03x01p03n02i00177 - Multi-dimensional arrays not allowed in alias declarations."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b03x01p03n02i00177arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1770.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1770.vhd
new file mode 100644
index 000000000..c5ae0ecf6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1770.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1770.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01770ent IS
+END c09s05b02x00p11n01i01770ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01770arch OF c09s05b02x00p11n01i01770ent IS
+ type string4 is array( 1 to 4 ) of CHARACTER;
+ signal x : string4;
+ signal y : integer;
+BEGIN
+
+ with x select
+ y <= transport 1 when "one", -- Failure_here
+ 2 when "two", -- Failure_here
+ 0 when others;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01770 - Select expression in a selected assignment statement is not the same type of a choice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01770arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1771.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1771.vhd
new file mode 100644
index 000000000..d96f80567
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1771.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1771.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01771ent IS
+END c09s05b02x00p11n01i01771ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01771arch OF c09s05b02x00p11n01i01771ent IS
+ type x is (Jan,Feb,Mar);
+ signal y : x;
+ signal Month_Num : integer;
+BEGIN
+
+ with y select
+ Month_num <= transport 1 when Jan,
+ 2 when Feb,
+ 3 when others, -- Failure_here
+ -- choice 'others' is not last.
+ 4 when Mar;
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01771 - Choice of others should be the last alternative."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01771arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1772.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1772.vhd
new file mode 100644
index 000000000..cfec24b59
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1772.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1772.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01772ent IS
+END c09s05b02x00p11n01i01772ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01772arch OF c09s05b02x00p11n01i01772ent IS
+ signal i, j : integer := 1;
+BEGIN
+
+ j <= transport 1 when 1,
+ 2 when 2;
+ -- Failure_here
+ -- Not every value of select expressions is represented.
+ -- 'others' choice is needed.
+
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01772 - Each value of the type of the select expression is represented once and only once in teh set of choices."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01772arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1773.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1773.vhd
new file mode 100644
index 000000000..e9f155775
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1773.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1773.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01773ent IS
+END c09s05b02x00p11n01i01773ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01773arch OF c09s05b02x00p11n01i01773ent IS
+
+ type day is (sun, mon, tue, wed, thu, fri, sat);
+ type rec_type is
+ record
+ element: day;
+ end record;
+
+ signal s_day: day;
+ signal j: integer;
+
+BEGIN
+
+ with s_day select
+ j <= transport 1 when sun,
+ 2 when mon,
+ 0 when element, -- Failure_here
+ -- ERROR: An element simple name is not allowed as a choice
+ 3 when others;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01773 - An element simple name is not allowed as a choice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01773arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1774.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1774.vhd
new file mode 100644
index 000000000..48ee92483
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1774.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1774.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s05b02x00p11n01i01774ent IS
+END c09s05b02x00p11n01i01774ent;
+
+ARCHITECTURE c09s05b02x00p11n01i01774arch OF c09s05b02x00p11n01i01774ent IS
+ type x is (Jan,Feb,Mar);
+ signal y : x;
+ signal Month_Num : integer;
+BEGIN
+
+ with y select
+ Month_num <= transport 1 when Jan,
+ 3 when Mar;
+ -- Failure_here
+ -- The choice Feb is ommited.
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s05b02x00p11n01i01774 - Each value of the type of the select expression should be represented once and exactly once."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s05b02x00p11n01i01774arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1777.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1777.vhd
new file mode 100644
index 000000000..fff454a98
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1777.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1777.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s06b00x00p02n01i01777ent IS
+END c09s06b00x00p02n01i01777ent;
+
+ARCHITECTURE c09s06b00x00p02n01i01777arch OF c09s06b00x00p02n01i01777ent IS
+ component error
+ port ( signal should_be_second : boolean := true );
+ generic ( constant should_be_first : integer := 1 );
+ end component; -- error
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p02n01i01777 - The generic map aspect must proceed the port map aspect if both are present."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s06b00x00p02n01i01777arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1778.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1778.vhd
new file mode 100644
index 000000000..35c36764b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1778.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1778.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s06b00x00p02n01i01778ent IS
+END c09s06b00x00p02n01i01778ent;
+
+ARCHITECTURE c09s06b00x00p02n01i01778arch OF c09s06b00x00p02n01i01778ent IS
+ component C
+ generic ( c2 : in integer := 122903 );
+ end component;
+BEGIN
+ C generic map (p); -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p02n01i01778 - A colon(:) is expected after the instantiation label."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s06b00x00p02n01i01778arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc178.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc178.vhd
new file mode 100644
index 000000000..fcdea0207
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc178.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc178.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s04b00x00p01n05i00178ent IS
+END c04s04b00x00p01n05i00178ent;
+
+ARCHITECTURE c04s04b00x00p01n05i00178arch OF c04s04b00x00p01n05i00178ent IS
+ signal S1 : INTEGER;
+ signal S2 : BOOLEAN;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1'DELAYED <= S2; -- Failure_here
+ -- ERROR - predefined signal attribute must not be driven
+ S1'STABLE <= S2; -- Failure_here
+ -- ERROR - predefined signal attribute must not be driven
+ S1'QUIET <= S2; -- Failure_here
+ -- ERROR - predefined signal attribute must not be driven
+ assert FALSE
+ report "***FAILED TEST: c04s04b00x00p01n05i00178 - Predefined atttribute DELAYED can not be driven."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s04b00x00p01n05i00178arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1780.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1780.vhd
new file mode 100644
index 000000000..32b9d95fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1780.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1780.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s06b00x00p04n01i01780ent IS
+END c09s06b00x00p04n01i01780ent;
+
+ARCHITECTURE c09s06b00x00p04n01i01780arch OF c09s06b00x00p04n01i01780ent IS
+ signal a, b, p, q: bit;
+
+ component comp1
+ port (p1, p2:bit);
+ end component;
+
+ for L1 : comp1 use entity work.ch0906_p00401_01_ent;
+BEGIN
+ L1:comp2 -- Failure_here: comp2 not declared
+ port map (q, p);
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p04n01i01780 - The component name in the component instantiation statement must be the name of a component declared in a component declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s06b00x00p04n01i01780arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1783.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1783.vhd
new file mode 100644
index 000000000..b8e4e9007
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1783.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1783.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s06b00x00p04n06i01783ent IS
+ port (X: in BIT; Y: in BIT_VECTOR; Z: out BIT);
+END c09s06b00x00p04n06i01783ent;
+
+ARCHITECTURE c09s06b00x00p04n06i01783arch OF c09s06b00x00p04n06i01783ent IS
+ component input2
+ generic (g1: integer );
+ port (signal input_1 : in bit;
+ signal input_2 : in bit_vector;
+ signal output : out bit);
+ end component;
+BEGIN
+ G1: input2
+ port map (X,Y,Z);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p04n06i01783 - Each local generic must be associated at least once."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s06b00x00p04n06i01783arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1784.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1784.vhd
new file mode 100644
index 000000000..6f1e5802e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1784.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1784.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s06b00x00p04n06i01784ent IS
+ generic (X: in BIT_VECTOR(0 to 2); Z: in BIT);
+END c09s06b00x00p04n06i01784ent;
+
+ARCHITECTURE c09s06b00x00p04n06i01784arch OF c09s06b00x00p04n06i01784ent IS
+ component input2
+ generic (input_1 : in bit;
+ input_2 : in bit;
+ output : in bit);
+ end component;
+
+ constant A1 : bit := '1';
+BEGIN
+ G1: input2
+ generic map (input_1 => X(0), input_1 => X(1), output => A1);
+ -- Failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p04n06i01784 - Each local generic must be associated exactly once."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s06b00x00p04n06i01784arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1786.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1786.vhd
new file mode 100644
index 000000000..76ec44b53
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1786.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1786.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c09s06b00x00p04n08i01786ent_a is
+ port ( signal clk : in bit;
+ signal i_bus : in bit_vector(0 to 7);
+ signal o_bus : out bit_vector(0 to 7)
+ );
+end c09s06b00x00p04n08i01786ent_a;
+
+ENTITY c09s06b00x00p04n08i01786ent IS
+ port ( signal clock : in bit;
+ signal in_bus : in bit_vector(0 to 7);
+ signal out_bus : out bit_vector(0 to 7)
+ );
+END c09s06b00x00p04n08i01786ent;
+
+ARCHITECTURE c09s06b00x00p04n08i01786arch OF c09s06b00x00p04n08i01786ent IS
+ component c09s06b00x00p04n08i01786ent_a
+ port ( signal clk : in bit;
+ signal i_bus : in bit_vector(0 to 7);
+ signal o_bus : out bit_vector(0 to 7)
+ );
+ end component; -- Test
+
+BEGIN
+ err : c09s06b00x00p04n08i01786ent_a
+ port map ( i_bus => in_bus,
+ i_bus => in_bus,
+ o_bus => out_bus
+ );
+
+ assert FALSE
+ report "***FAILED TEST: c09s06b00x00p04n08i01786 - Each local port must be associated exactly once."
+ severity ERROR;
+
+END c09s06b00x00p04n08i01786arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1788.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1788.vhd
new file mode 100644
index 000000000..c1aa2093a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1788.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1788.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p02n01i01788ent IS
+END c09s07b00x00p02n01i01788ent;
+
+ARCHITECTURE c09s07b00x00p02n01i01788arch OF c09s07b00x00p02n01i01788ent IS
+ signal TS,SS : bit_vector(1 to 3);
+BEGIN
+ for I in 1 to 3 generate -- Failure_here
+ -- generate label is missing TS(I) <= SS(I);
+ end generate;
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p02n01i01788 - In the generate statement, the reserved word generate must be preceded by a generate label."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s07b00x00p02n01i01788arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1789.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1789.vhd
new file mode 100644
index 000000000..3fb7f86da
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1789.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1789.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p02n01i01789ent IS
+END c09s07b00x00p02n01i01789ent;
+
+ARCHITECTURE c09s07b00x00p02n01i01789arch OF c09s07b00x00p02n01i01789ent IS
+
+BEGIN
+ BL: block
+ begin
+
+ L1: for i in 1 to 3 generate
+ if i = 5 then
+ null;
+ end if;
+ end generate L1;
+
+ end block;
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p02n01i01789 - In the generate statement, the reserved word generate must be followed by zero or more concurrent statements."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s07b00x00p02n01i01789arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1790.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1790.vhd
new file mode 100644
index 000000000..c7703a843
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1790.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1790.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p02n01i01790ent IS
+END c09s07b00x00p02n01i01790ent;
+
+ARCHITECTURE c09s07b00x00p02n01i01790arch OF c09s07b00x00p02n01i01790ent IS
+ signal TS,SS: bit_vector(1 to 3);
+BEGIN
+ GEN: for I in 1 to 3 generate
+ TS(I) <= SS(I);
+ end generate
+ -- Failure_here
+ -- Semicolon missing before 'end'
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p02n01i01790 - In the generate statement, the reserved word end generate must be followed by a semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c09s07b00x00p02n01i01790arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1791.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1791.vhd
new file mode 100644
index 000000000..e2a8efc8d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1791.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1791.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p05n01i01791ent IS
+END c09s07b00x00p05n01i01791ent;
+
+ARCHITECTURE c09s07b00x00p05n01i01791arch OF c09s07b00x00p05n01i01791ent IS
+
+BEGIN
+ L1: for I in 1 to 3 generate
+ end generate L1;
+
+ L2: if true generate
+ end generate L1; -- failure_here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p05n01i01791 - Label appears at the end of a generate statement must repeat the generate label."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c09s07b00x00p05n01i01791arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1794.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1794.vhd
new file mode 100644
index 000000000..a0cffa945
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1794.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1794.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p06n02i01794ent IS
+END c09s07b00x00p06n02i01794ent;
+
+ARCHITECTURE c09s07b00x00p06n02i01794arch OF c09s07b00x00p06n02i01794ent IS
+
+ procedure i_proof_1 (x : real) is
+ begin
+ end i_proof_1;
+
+BEGIN
+
+ glabel1 : FOR i in 0.0 to 8.0 generate
+ i_proof_1(i);
+ end generate glabel1;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p06n02i01794 - The generate parameter type should be the base type of the discrete range of the generate parameter specification."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c09s07b00x00p06n02i01794arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1795.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1795.vhd
new file mode 100644
index 000000000..c35158996
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1795.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1795.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c09s07b00x00p06n02i01795ent IS
+END c09s07b00x00p06n02i01795ent;
+
+ARCHITECTURE c09s07b00x00p06n02i01795arch OF c09s07b00x00p06n02i01795ent IS
+
+ procedure i_proof_1 (x : time) is
+ begin
+ end i_proof_1;
+
+BEGIN
+
+ glabel1 : FOR i in 0 ns to 8 ns generate
+ i_proof_1(i);
+ end generate glabel1;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c09s07b00x00p06n02i01795 - The generate parameter type should be the base type of the discrete range of the generate parameter specification.(Time did not have a discrete range.)"
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c09s07b00x00p06n02i01795arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1796.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1796.vhd
new file mode 100644
index 000000000..581c0989b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1796.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1796.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p02n01i01796ent IS
+END c07s01b00x00p02n01i01796ent;
+
+ARCHITECTURE c07s01b00x00p02n01i01796arch OF c07s01b00x00p02n01i01796ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 3;
+ variable y : integer := 5;
+ variable z : integer := 9;
+ BEGIN
+ if ((x <= y) nor (x <= z) nor (y <= z)) Then -- Failure_here
+ -- sequence of nor operators
+ -- not allowed in an expression
+ x:= y+z;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p02n01i01796 - Expression with a sequence of nand or nor operators is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p02n01i01796arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1797.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1797.vhd
new file mode 100644
index 000000000..0aa60aa6f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1797.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1797.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p02n01i01797ent IS
+END c07s01b00x00p02n01i01797ent;
+
+ARCHITECTURE c07s01b00x00p02n01i01797arch OF c07s01b00x00p02n01i01797ent IS
+ -- architecture declaration section
+BEGIN
+ -- architecture statement part
+ TESTING: PROCESS
+ BEGIN
+ -- testcase code
+ Assert FALSE
+ Report "***PASSED TEST: c07s01b00x00p02n01i01797"
+ Severity NOTE;
+ -- testcase code
+ Assert FALSE
+ Report "***FAILED TEST: c07s01b00x00p02n01i01797"
+ Severity ERROR;
+ wait; -- forever
+ END PROCESS TESTING;
+END c07s01b00x00p02n01i01797arch;
+
+-- CONFIGURATION c07s01b00x00p02n01i01797cfg OF c07s01b00x00p02n01i01797ent IS
+-- FOR c07s01b00x00p02n01i01797arch
+-- END FOR;
+-- END c07s01b00x00p02n01i01797cfg;
+
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1799.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1799.vhd
new file mode 100644
index 000000000..e75c6faf4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1799.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1799.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p02n01i01799ent IS
+END c07s01b00x00p02n01i01799ent;
+
+ARCHITECTURE c07s01b00x00p02n01i01799arch OF c07s01b00x00p02n01i01799ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 3;
+ variable y : integer := 5;
+ variable z : integer := 9;
+ BEGIN
+ if ((x <= y) xnor (x <= z) xnor (y <= z)) Then -- Failure_here
+ -- sequence of nor operators
+ -- not allowed in an expression
+ x:= y+z;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p02n01i01799 - Expression with a sequence of nand or nor operators is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p02n01i01799arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1800.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1800.vhd
new file mode 100644
index 000000000..0fe1d7d91
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1800.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1800.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p03n01i01800ent IS
+END c07s01b00x00p03n01i01800ent;
+
+ARCHITECTURE c07s01b00x00p03n01i01800arch OF c07s01b00x00p03n01i01800ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : real := 4;
+ variable y : real := 6.7;
+ variable z : real := 4.8;
+ variable p : real;
+ BEGIN
+ if y = x = z then -- Failure_here
+ -- only a single relational operator allowed.
+ p := y + z + x;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p03n01i01800 - Only a single relational operator is used to combine expressions and form realtions."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p03n01i01800arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1802.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1802.vhd
new file mode 100644
index 000000000..3877281ca
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1802.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1802.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p05n01i01802ent IS
+END c07s01b00x00p05n01i01802ent;
+
+ARCHITECTURE c07s01b00x00p05n01i01802arch OF c07s01b00x00p05n01i01802ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 3;
+ variable y : integer := 5;
+ variable z : integer := 9;
+ BEGIN
+ if ((x + -z) < (y + x)) then -- Failure_here
+ -- sign can appear only before the first term.
+ x := y * z;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p05n01i01802 - Sign can appear only before the first term in a simple expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p05n01i01802arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1805.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1805.vhd
new file mode 100644
index 000000000..d0747e989
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1805.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1805.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p07n01i01805ent IS
+END c07s01b00x00p07n01i01805ent;
+
+ARCHITECTURE c07s01b00x00p07n01i01805arch OF c07s01b00x00p07n01i01805ent IS
+ signal POS : Boolean;
+ signal P1 : Boolean := False;
+ signal P2 : Boolean := True;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ POS <= P1 and (not ) after 20 ns; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p07n01i01805 - Missing Primary."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p07n01i01805arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1806.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1806.vhd
new file mode 100644
index 000000000..1b1dc0e97
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1806.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1806.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p07n01i01806ent IS
+END c07s01b00x00p07n01i01806ent;
+
+ARCHITECTURE c07s01b00x00p07n01i01806arch OF c07s01b00x00p07n01i01806ent IS
+ signal POS : integer;
+ signal P1 : integer := 2;
+ signal P2 : integer := - 1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ POS <= P1 and (abs ) after 20 ns; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p07n01i01806 - Missing Primary."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p07n01i01806arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc181.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc181.vhd
new file mode 100644
index 000000000..e62fc1390
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc181.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc181.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s04b00x00p03n01i00181ent IS
+END c04s04b00x00p03n01i00181ent;
+
+ARCHITECTURE c04s04b00x00p03n01i00181arch OF c04s04b00x00p03n01i00181ent IS
+ attribute p POSITIVE; --Failure Here
+ signal s: integer;
+ attribute p of s: signal is 10;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s04b00x00p03n01i00181 - Missing colon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s04b00x00p03n01i00181arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1811.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1811.vhd
new file mode 100644
index 000000000..caadcd773
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1811.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1811.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01811ent IS
+END c07s01b00x00p08n01i01811ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01811arch OF c07s01b00x00p08n01i01811ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int := small_int;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01811 - Type name are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01811arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1812.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1812.vhd
new file mode 100644
index 000000000..94b1d18c4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1812.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1812.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01812ent IS
+END c07s01b00x00p08n01i01812ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01812arch OF c07s01b00x00p08n01i01812ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (small_int > s_int) then
+ null;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01812 - Type name are not permitted as primaries."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01812arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1814.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1814.vhd
new file mode 100644
index 000000000..8c270c3fb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1814.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1814.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01814ent IS
+END c07s01b00x00p08n01i01814ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01814arch OF c07s01b00x00p08n01i01814ent IS
+ type small_int is range 0 to 7;
+ type byte is range small_int to 3;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01814 - Type name are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01814arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1815.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1815.vhd
new file mode 100644
index 000000000..1e7090a69
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1815.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1815.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01815ent IS
+END c07s01b00x00p08n01i01815ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01815arch OF c07s01b00x00p08n01i01815ent IS
+ type small_int is range 0 to 7;
+ signal sm_int : small_int := 0;
+BEGIN
+ B : block (sm_int = small_int) -- type name illegal
+ begin
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01815 - Type name are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ end block B;
+
+END c07s01b00x00p08n01i01815arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1816.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1816.vhd
new file mode 100644
index 000000000..1e0665ac7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1816.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1816.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01816ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of bit;
+END c07s01b00x00p08n01i01816ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01816arch OF c07s01b00x00p08n01i01816ent IS
+ signal s_int : small_int := 0;
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= s_bus'right(small_int);
+ wait;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01816 - Type names are not permitted as primaries in an attribute argument."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01816arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1817.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1817.vhd
new file mode 100644
index 000000000..8c5ac9ee3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1817.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1817.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01817ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01817ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01817arch OF c07s01b00x00p08n01i01817ent IS
+ signal s_int : small_int;
+BEGIN
+ with small_int select -- type name illegal here
+ s_int <= 6 after 10 ns when true;
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01817 - Type names are not permitted as primaries in an attribute argument."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01817arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1818.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1818.vhd
new file mode 100644
index 000000000..b64085717
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1818.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1818.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01818ent IS
+ type small_int is range 0 to 7;
+ type byte is range 0 to 3;
+END c07s01b00x00p08n01i01818ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01818arch OF c07s01b00x00p08n01i01818ent IS
+ function test return small_int is
+ begin
+ return small_int; -- type name illegal here
+ end test;
+
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= test after 5 ns;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01818 - Type names are not permitted as primaries in a function return statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01818arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1819.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1819.vhd
new file mode 100644
index 000000000..aca96c7b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1819.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1819.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01819ent IS
+ type small_int is range 0 to 7;
+ type byte is range 0 to 3;
+END c07s01b00x00p08n01i01819ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01819arch OF c07s01b00x00p08n01i01819ent IS
+ function test return small_int is
+ variable tmp : small_int := 0;
+ begin
+ tmp := small_int; -- type name illegal here
+ return tmp;
+ end test;
+
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= test after 5 ns;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01819 - Type names are not permitted as primaries in a variable assignment statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01819arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1820.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1820.vhd
new file mode 100644
index 000000000..0ea48864c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1820.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1820.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01820ent IS
+ type small_int is range 0 to 7;
+ type byte is range 0 to 3;
+END c07s01b00x00p08n01i01820ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01820arch OF c07s01b00x00p08n01i01820ent IS
+ function test return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case small_int is -- type name illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ return tmp;
+ end test;
+
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= test after 5 ns;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01820 - Type names are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01820arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1821.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1821.vhd
new file mode 100644
index 000000000..e53af5c69
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1821.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1821.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01821ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01821ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01821arch OF c07s01b00x00p08n01i01821ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus <= (0 => small_int, others =>0) after 5 ns; -- type name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01821 - Type names are not permitted as primaries in an element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01821arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1822.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1822.vhd
new file mode 100644
index 000000000..2b99a92af
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1822.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1822.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01822ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01822ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01822arch OF c07s01b00x00p08n01i01822ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus(0) <= small_int'(small_int) after 5 ns; -- type name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01822 - Type names are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01822arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1823.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1823.vhd
new file mode 100644
index 000000000..417a39291
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1823.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1823.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01823ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01823ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01823arch OF c07s01b00x00p08n01i01823ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus(0) <= small_int(small_int) after 5 ns; -- type name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01823 - Type names are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01823arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1824.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1824.vhd
new file mode 100644
index 000000000..900ac55a3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1824.vhd
@@ -0,0 +1,70 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1824.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01824pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01824pkg;
+
+use work.c07s01b00x00p08n01i01824pkg.all;
+entity c07s01b00x00p08n01i01824ent_a is
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+end c07s01b00x00p08n01i01824ent_a;
+
+architecture c07s01b00x00p08n01i01824arch_a of c07s01b00x00p08n01i01824ent_a is
+begin
+end c07s01b00x00p08n01i01824arch_a;
+
+use work.c07s01b00x00p08n01i01824pkg.all;
+ENTITY c07s01b00x00p08n01i01824ent IS
+END c07s01b00x00p08n01i01824ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01824arch OF c07s01b00x00p08n01i01824ent IS
+ signal ibus, obus : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width - 1));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width - 1)));
+ end component;
+ for err : test use entity work.c07s01b00x00p08n01i01824ent_a(c07s01b00x00p08n01i01824arch_a);
+BEGIN
+ err : test port map ( ibus, small_int ); -- type name illegal here
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01824 - Type names are not permitted as primaries in a component instantiation port map statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01824arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1825.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1825.vhd
new file mode 100644
index 000000000..ccf29a9cd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1825.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1825.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01825ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01825ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01825arch OF c07s01b00x00p08n01i01825ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert s_int > ch0701_p00801_16_ent -- entity name illegal here
+ report "Entity name accepted as primary in an expression."
+ severity note ;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01825 - Entity name are not permitted as primaries in an assert condition."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01825arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1826.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1826.vhd
new file mode 100644
index 000000000..818498b0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1826.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1826.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01826ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01826ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01826arch OF c07s01b00x00p08n01i01826ent IS
+ signal s_int : small_int := c07s01b00x00p08n01i01826ent; --entity name illegal here
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01826 - Entity name are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01826arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1827.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1827.vhd
new file mode 100644
index 000000000..7697dd17f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1827.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1827.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01827ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01827ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01827arch OF c07s01b00x00p08n01i01827ent IS
+ type byte is range ch0701_p00801_18_ent to 3; -- entity name illegal here
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01827 - Entity name are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01827arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1828.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1828.vhd
new file mode 100644
index 000000000..f12612050
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1828.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1828.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01828ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01828ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01828arch OF c07s01b00x00p08n01i01828ent IS
+ signal sm_int : small_int := 0;
+BEGIN
+ B: block ( sm_int = c07s01b00x00p08n01i01828ent ) -- entity name illegal here
+ begin
+ assert false
+ report "Entity name accepted in block guard expression."
+ severity note ;
+ end block B;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01828 - Entity name are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01828arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1829.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1829.vhd
new file mode 100644
index 000000000..75e81a4dd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1829.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1829.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01829ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of bit;
+END c07s01b00x00p08n01i01829ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01829arch OF c07s01b00x00p08n01i01829ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus (c07s01b00x00p08n01i01829ent) <= '0' after 5 ns; -- entity name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01829 - Entity name are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01829arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1830.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1830.vhd
new file mode 100644
index 000000000..e61eed6aa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1830.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1830.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01830ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of bit;
+END c07s01b00x00p08n01i01830ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01830arch OF c07s01b00x00p08n01i01830ent IS
+ signal s_int : small_int := 0;
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= s_bus'right(c07s01b00x00p08n01i01830ent); -- entity name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01830 - Entity name are not permitted as primaries in an attribute argument."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01830arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1831.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1831.vhd
new file mode 100644
index 000000000..bf7422639
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1831.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1831.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01831ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01831ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01831arch OF c07s01b00x00p08n01i01831ent IS
+ signal s_int : small_int;
+BEGIN
+ with c07s01b00x00p08n01i01831ent select -- entity name illegal here
+ s_int <= s_int + 1 after 10 ns when true;
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01831 - Entity name are not permitted as primaries in a select signal assignment expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01831arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1832.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1832.vhd
new file mode 100644
index 000000000..81c57d01d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1832.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1832.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01832ent IS
+ type small_int is range 0 to 7;
+ type byte is range 0 to 3;
+END c07s01b00x00p08n01i01832ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01832arch OF c07s01b00x00p08n01i01832ent IS
+ function test return small_int is
+ begin
+ return c07s01b00x00p08n01i01832nt; -- entity name illegal here
+ end test;
+
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= test after 5 ns;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01832 - Entity name are not permitted as primaries in a function return statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01832arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1833.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1833.vhd
new file mode 100644
index 000000000..5aef8a263
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1833.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1833.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01833ent IS
+ type small_int is range 0 to 7;
+ type byte is range 0 to 3;
+END c07s01b00x00p08n01i01833ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01833arch OF c07s01b00x00p08n01i01833ent IS
+ function test return small_int is
+ variable tmp : small_int := 0;
+ begin
+ tmp := c07s01b00x00p08n01i01833ent; -- entity name illegal here
+ return tmp;
+ end test;
+
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= test after 5 ns;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01833 - Entity name are not permitted as primaries in a variable assignment statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01833arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1834.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1834.vhd
new file mode 100644
index 000000000..1e5631e04
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1834.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1834.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01834ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01834ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01834arch OF c07s01b00x00p08n01i01834ent IS
+
+BEGIN
+ TESTING : PROCESS
+ variable tmp : small_int := 0;
+ BEGIN
+ case c07s01b00x00p08n01i01834ent is -- entity name illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01834 - Entity name are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01834arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1835.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1835.vhd
new file mode 100644
index 000000000..14b32d2f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1835.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1835.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01835ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01835ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01835arch OF c07s01b00x00p08n01i01835ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus <= (0 => c07s01b00x00p08n01i01835ent, others => 0) after 5 ns;--entity name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01835 - Entity name are not permitted as primaries in an element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01835arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1836.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1836.vhd
new file mode 100644
index 000000000..1367378d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1836.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1836.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01836ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01836ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01836arch OF c07s01b00x00p08n01i01836ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus(0) <= small_int'(c07s01b00x00p08n01i01836ent) after 5 ns; -- entity name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01836 - Entity name are not permitted as primaries in a qualfied expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01836arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1837.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1837.vhd
new file mode 100644
index 000000000..9b3d44510
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1837.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1837.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01837ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int) of small_int;
+END c07s01b00x00p08n01i01837ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01837arch OF c07s01b00x00p08n01i01837ent IS
+ signal s_bus : cmd_bus;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_bus(0) <= small_int(c07s01b00x00p08n01i01837ent) after 5 ns; -- entity name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01837 - Entity name are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01837arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1838.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1838.vhd
new file mode 100644
index 000000000..50ba5efc6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1838.vhd
@@ -0,0 +1,75 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1838.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01838pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01838pkg;
+
+use work.c07s01b00x00p08n01i01838pkg.all;
+entity c07s01b00x00p08n01i01838ent_a is
+ generic ( constant bus_width : small_int);
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+end c07s01b00x00p08n01i01838ent_a;
+
+architecture c07s01b00x00p08n01i01838arch_a of c07s01b00x00p08n01i01838ent_a is
+begin
+end c07s01b00x00p08n01i01838arch_a;
+
+use work.c07s01b00x00p08n01i01838pkg.all;
+ENTITY c07s01b00x00p08n01i01838ent IS
+END c07s01b00x00p08n01i01838ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01838arch OF c07s01b00x00p08n01i01838ent IS
+ signal ibus, obus : cmd_bus(small_int);
+
+ component test
+ generic ( constant bus_width : natural := 7);
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width - 1));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width - 1)));
+ end component;
+ for err : test use entity work.c07s01b00x00p08n01i01838ent_a(c07s01b00x00p08n01i01838arch_a);
+
+BEGIN
+ err : test generic map ( c07s01b00x00p08n01i01838ent ) -- entity name illegal here
+ port map ( ibus, obus );
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01838 - Entity names are not permitted as primaries in a component instantiation generic map statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01838arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1839.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1839.vhd
new file mode 100644
index 000000000..211046cde
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1839.vhd
@@ -0,0 +1,72 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1839.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01839pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01839pkg;
+
+use work.c07s01b00x00p08n01i01839pkg.all;
+entity c07s01b00x00p08n01i01839ent_a is
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+end c07s01b00x00p08n01i01839ent_a;
+
+architecture c07s01b00x00p08n01i01839arch_a of c07s01b00x00p08n01i01839ent_a is
+begin
+end c07s01b00x00p08n01i01839arch_a;
+
+use work.c07s01b00x00p08n01i01839pkg.all;
+ENTITY c07s01b00x00p08n01i01839ent IS
+END c07s01b00x00p08n01i01839ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01839arch OF c07s01b00x00p08n01i01839ent IS
+ signal ibus, obus : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+ for err : test use entity work.c07s01b00x00p08n01i01839ent_a(c07s01b00x00p08n01i01839arch_a);
+
+BEGIN
+ err : test port map ( ibus, c07s01b00x00p08n01i01839ent ); --entity name illegal here
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01839 - Entity names are not permitted as primaries in a component instantiation port map statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc07s01b00x00p08n01i01839arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc184.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc184.vhd
new file mode 100644
index 000000000..82f62fd1d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc184.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc184.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s04b00x00p05n01i00184ent IS
+END c04s04b00x00p05n01i00184ent;
+
+ARCHITECTURE c04s04b00x00p05n01i00184arch OF c04s04b00x00p05n01i00184ent IS
+ type COORDINATE is
+ record
+ X, Y: INTEGER;
+ end record;
+ type acccor is access COORDINATE;
+ attribute ill1 : acccor; --Failure here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s04b00x00p05n01i00184 - In an attribute declaration, the type mark must denote a subtype that is neither an access type nor a file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s04b00x00p05n01i00184arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1840.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1840.vhd
new file mode 100644
index 000000000..6f708adc8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1840.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1840.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01840ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01840ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01840arch OF c07s01b00x00p08n01i01840ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ assert s_int > c07s01b00x00p08n01i01840arch -- body name illegal here
+ report "architecture body name accepted as primary in a condition."
+ severity note ;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01840 - Architecture body names are not permitted as primaries in a condition expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01840arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1841.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1841.vhd
new file mode 100644
index 000000000..5aeb5ced5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1841.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1841.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01841ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01841ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01841arch OF c07s01b00x00p08n01i01841ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ blk: block ( s_int = 0 )
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ assert s_int > blk -- block label illegal here
+ report "block label accepted as primary in a condition."
+ severity note ;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01841 - Block lables are not permitted as primaries in a condition expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01841arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1842.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1842.vhd
new file mode 100644
index 000000000..28de5d532
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1842.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1842.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01842ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01842ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01842arch OF c07s01b00x00p08n01i01842ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ assert s_int > TESTING -- process label illegal here
+ report "process label accepted as primary in a condition."
+ severity note ;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01842 - Process lables are not permitted as primaries in a condition expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01842arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1843.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1843.vhd
new file mode 100644
index 000000000..f3aa97310
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1843.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1843.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01843ent IS
+ type small_int is range 0 to 7;
+
+END c07s01b00x00p08n01i01843ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01843arch OF c07s01b00x00p08n01i01843ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ null;
+ end loop lop;
+
+ assert s_int > lop -- loop label illegal here
+ report "loop label accepted as primary in a condition."
+ severity note ;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01843 - Loop lables are not permitted as primaries in a condition expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01843arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1844.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1844.vhd
new file mode 100644
index 000000000..4c97b738f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1844.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1844.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01844ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01844ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01844arch OF c07s01b00x00p08n01i01844ent IS
+ signal s_int : small_int := 0;
+BEGIN
+ sig : s_int <= 5 after 5 ns;
+ TESTING : PROCESS
+ BEGIN
+ assert s_int > sig -- signal assignment label illegal here
+ report "signal assignment label accepted as primary in a condition."
+ severity note ;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01844 - Signal assignment lables are not permitted as primaries in a condition expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01844arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1845.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1845.vhd
new file mode 100644
index 000000000..a678810a5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1845.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1845.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01845ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01845ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01845arch OF c07s01b00x00p08n01i01845ent IS
+ signal s_int : small_int := ch0701_p00801_36_arch;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01845 - Architecture body names are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01845arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1846.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1846.vhd
new file mode 100644
index 000000000..5576d9642
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1846.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1846.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01846ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01846ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01846arch OF c07s01b00x00p08n01i01846ent IS
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01846 - Process labels are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ b: block ( s_int = 0 )
+ signal s_int2 : small_int := TESTING; -- process label illegal here
+ begin
+ end block b;
+
+END c07s01b00x00p08n01i01846arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1847.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1847.vhd
new file mode 100644
index 000000000..a930263ad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1847.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1847.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01847ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01847ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01847arch OF c07s01b00x00p08n01i01847ent IS
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ null;
+ end loop lop;
+
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01847 - Loop labels are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ b: block ( s_int = 0 )
+ signal tmp : small_int := lop;
+ begin
+ end block b;
+
+END c07s01b00x00p08n01i01847arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1848.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1848.vhd
new file mode 100644
index 000000000..b4e678c24
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1848.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1848.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01848ent IS
+ type small_int is range 0 to 7;
+END c07s01b00x00p08n01i01848ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01848arch OF c07s01b00x00p08n01i01848ent IS
+ signal s_int : small_int;
+BEGIN
+
+ sig : s_int <= 5 after 5 ns;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01848 - Signal assignment labels are not permitted as primaries in an initialization expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ b : block (s_int = 0)
+ signal tmp : small_int := sig;
+ begin
+ end block b;
+
+END c07s01b00x00p08n01i01848arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1849.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1849.vhd
new file mode 100644
index 000000000..219d31ef6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1849.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1849.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01849ent IS
+END c07s01b00x00p08n01i01849ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01849arch OF c07s01b00x00p08n01i01849ent IS
+ type byte is range c07s01b00x00p08n01i01849arch to 3;
+BEGIN
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01849 - Architecture body names are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01849arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc185.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc185.vhd
new file mode 100644
index 000000000..cc04ac010
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc185.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc185.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s04b00x00p05n01i00185ent IS
+END c04s04b00x00p05n01i00185ent;
+
+ARCHITECTURE c04s04b00x00p05n01i00185arch OF c04s04b00x00p05n01i00185ent IS
+ type FT is file of integer;
+ attribute ill2 : FT; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s04b00x00p05n01i00185 - In an attribute declaration, the type mark must denote a subtype that is neither an access type nor a file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s04b00x00p05n01i00185arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1850.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1850.vhd
new file mode 100644
index 000000000..f23071880
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1850.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1850.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01850ent IS
+END c07s01b00x00p08n01i01850ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01850arch OF c07s01b00x00p08n01i01850ent IS
+ signal s_int : integer;
+BEGIN
+ b: block ( s_int = 0 )
+ type byte is range b to 3; -- block label illegal here
+ begin
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01850 - Block labels are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01850arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1851.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1851.vhd
new file mode 100644
index 000000000..0a8e576a6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1851.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1851.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01851ent IS
+END c07s01b00x00p08n01i01851ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01851arch OF c07s01b00x00p08n01i01851ent IS
+
+BEGIN
+ TESTING : PROCESS
+ type byte is range TESTING to 3; -- process label illegal here
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01851 - Process labels are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01851arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1852.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1852.vhd
new file mode 100644
index 000000000..bd5400e50
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1852.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1852.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01852ent IS
+END c07s01b00x00p08n01i01852ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01852arch OF c07s01b00x00p08n01i01852ent IS
+ signal sma_int : integer;
+BEGIN
+ sig : sma_int <= 6 after 5 ns;
+
+ TESTING : PROCESS
+ type byte is range sig to 33; -- process label illegal here
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01852 - Signal assignment labels are not permitted as primaries in a range expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01852arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1853.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1853.vhd
new file mode 100644
index 000000000..94577fde7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1853.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1853.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01853ent IS
+END c07s01b00x00p08n01i01853ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01853arch OF c07s01b00x00p08n01i01853ent IS
+ signal sma_int : integer;
+BEGIN
+ b: block ( sma_int = ch0701_p00801_44_arch ) -- body name illegal here
+ begin
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01853 - Architecture body names are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01853arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1854.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1854.vhd
new file mode 100644
index 000000000..de6e523e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1854.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1854.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01854ent IS
+END c07s01b00x00p08n01i01854ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01854arch OF c07s01b00x00p08n01i01854ent IS
+ signal sma_int : integer;
+BEGIN
+ b: block ( sma_int = b ) -- block label illegal here
+ begin
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01854 - Block labels are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01854arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1855.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1855.vhd
new file mode 100644
index 000000000..987f29ae4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1855.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1855.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01855ent IS
+END c07s01b00x00p08n01i01855ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01855arch OF c07s01b00x00p08n01i01855ent IS
+ signal sma_int : integer;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01855 - Process labels are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ b: block ( sma_int = TESTING ) -- process label illegal here
+ begin
+ end block b;
+
+END c07s01b00x00p08n01i01855arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1856.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1856.vhd
new file mode 100644
index 000000000..5abe6c70e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1856.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1856.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01856ent IS
+END c07s01b00x00p08n01i01856ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01856arch OF c07s01b00x00p08n01i01856ent IS
+ signal sma_int : integer;
+BEGIN
+ sig : sma_int <= 5 after 5 ns;
+
+ b: block ( sma_int = sig ) -- signal assignment label illegal here
+ begin
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01856 - Signal assignment labels are not permitted as primaries in a block guard expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01856arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1857.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1857.vhd
new file mode 100644
index 000000000..95e59e7fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1857.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1857.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01857ent IS
+END c07s01b00x00p08n01i01857ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01857arch OF c07s01b00x00p08n01i01857ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+ signal s_int : small_int := 0;
+ signal bool : boolean;
+BEGIN
+ s : bool <= s_int = ibus'right(1) after 5 ns;
+ with bool select
+ obus (ch0701_p00801_48_arch)<= 5 after 5 ns when true, -- body name illegal here
+ obus (5) <= 5 after 5 ns when false;
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01857 - Architecture body names are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01857arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1858.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1858.vhd
new file mode 100644
index 000000000..6f7cb58ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1858.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1858.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01858ent IS
+END c07s01b00x00p08n01i01858ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01858arch OF c07s01b00x00p08n01i01858ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus, obus : cmd_bus(small_int);
+ signal s_int : small_int := 0;
+ signal bool : boolean;
+BEGIN
+ blk : block (s_int = 0)
+ begin
+ end block blk;
+
+ s : bool <= s_int = ibus'right(1) after 5 ns;
+
+ with bool select
+ obus (blk) <= 5 after 5 ns when true, -- block labels illegal here
+ obus (5) <= 4 after 5 ns when false;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01858 - Blcok labels are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01858arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1859.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1859.vhd
new file mode 100644
index 000000000..f304f6549
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1859.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1859.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01859ent IS
+END c07s01b00x00p08n01i01859ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01859arch OF c07s01b00x00p08n01i01859ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus, obus : cmd_bus(small_int);
+ signal s_int : small_int := 0;
+ signal bool : boolean;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01859 - Process labels are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ with bool select
+ obus (TESTING) <= 5 after 5 ns when true, -- process labels illegal here
+ obus (5) <= 4 after 5 ns when false;
+
+END c07s01b00x00p08n01i01859arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc186.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc186.vhd
new file mode 100644
index 000000000..0953764a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc186.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc186.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s04b00x00p12n01i00186ent IS
+END c04s04b00x00p12n01i00186ent;
+
+ARCHITECTURE c04s04b00x00p12n01i00186arch OF c04s04b00x00p12n01i00186ent IS
+ attribute POSI : NATURAL;
+ attribute POSI of S: signal is 10; --- Failure_here
+ signal S : Integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s04b00x00p12n01i00186 - Entity declaration does not exist."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s04b00x00p12n01i00186arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1860.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1860.vhd
new file mode 100644
index 000000000..9c2c09ab0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1860.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1860.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01860ent IS
+END c07s01b00x00p08n01i01860ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01860arch OF c07s01b00x00p08n01i01860ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+
+ lop : for i in small_int loop
+ obus(lop) <= 5 after 5 ns;
+ end loop lop;
+
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01860 - Loop labels are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01860arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1861.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1861.vhd
new file mode 100644
index 000000000..76bfe5325
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1861.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1861.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01861ent IS
+END c07s01b00x00p08n01i01861ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01861arch OF c07s01b00x00p08n01i01861ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true after 5 ns;
+
+ obus(sig) <= 5 after 5 ns; --signal assignment label illegal here
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01861 - Signal assignment labels are not permitted as primaries in an index expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01861arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1862.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1862.vhd
new file mode 100644
index 000000000..c7928dc40
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1862.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1862.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01862ent IS
+END c07s01b00x00p08n01i01862ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01862arch OF c07s01b00x00p08n01i01862ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int<=obus'right(c07s01b00x00p08n01i01862arch) after 5 ns; --architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01862 - Architecture body names are not permitted as primaries in an attribute expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01862arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1863.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1863.vhd
new file mode 100644
index 000000000..62d04d0b3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1863.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1863.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01863ent IS
+END c07s01b00x00p08n01i01863ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01863arch OF c07s01b00x00p08n01i01863ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+
+ blk : block(s_int = 0)
+ begin
+ s_int <= obus'right(blk) after 5 ns; -- block label illegal here
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01863 - Block labels are not permitted as primaries in an attribute expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01863arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1864.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1864.vhd
new file mode 100644
index 000000000..cb881bfa4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1864.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1864.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01864ent IS
+END c07s01b00x00p08n01i01864ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01864arch OF c07s01b00x00p08n01i01864ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= obus'right(TESTING) after 5 ns; -- process label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01864 - Process labels are not permitted as primaries in an attribute expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01864arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1865.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1865.vhd
new file mode 100644
index 000000000..f4df79632
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1865.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1865.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01865ent IS
+END c07s01b00x00p08n01i01865ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01865arch OF c07s01b00x00p08n01i01865ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ s_int <= obus'right(lop) after 5 ns; -- loop label illegal here
+ end loop lop;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01865 - Loop labels are not permitted as primaries in an attribute expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01865arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1866.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1866.vhd
new file mode 100644
index 000000000..5fa065601
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1866.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1866.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01866ent IS
+END c07s01b00x00p08n01i01866ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01866arch OF c07s01b00x00p08n01i01866ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true after 5 ns;
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= obus'right(sig) after 5 ns; -- signal assignment label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01866 - Signal assignment labels are not permitted as primaries in an attribute expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01866arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1867.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1867.vhd
new file mode 100644
index 000000000..55d962b67
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1867.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1867.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01867ent IS
+END c07s01b00x00p08n01i01867ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01867arch OF c07s01b00x00p08n01i01867ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ with c07s01b00x00p08n01i01867arch select --body name illegal here
+ obus <= (0 => 1, others => 0) after 5 ns when true;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01867 - Architecture body names are not permitted as primaries in a selected signal expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01867arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1868.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1868.vhd
new file mode 100644
index 000000000..3d3456295
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1868.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1868.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01868ent IS
+END c07s01b00x00p08n01i01868ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01868arch OF c07s01b00x00p08n01i01868ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ blk : block (s_int = 0)
+ begin
+ with blk select -- block label illegal here
+ obus(0) <= 5 after 5 ns when true;
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01868 - Block labels are not permitted as primaries in a selected signal expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01868arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1869.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1869.vhd
new file mode 100644
index 000000000..beac8b1f1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1869.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1869.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01869ent IS
+END c07s01b00x00p08n01i01869ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01869arch OF c07s01b00x00p08n01i01869ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01869 - Process labels are not permitted as primaries in a selected signal expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ with TESTING select --process label illegal here
+ obus(0) <= 5 after 5 ns when true;
+
+END c07s01b00x00p08n01i01869arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1870.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1870.vhd
new file mode 100644
index 000000000..b76640001
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1870.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1870.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01870ent IS
+END c07s01b00x00p08n01i01870ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01870arch OF c07s01b00x00p08n01i01870ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal bool : boolean;
+BEGIN
+
+ sig : bool <= true after 5 ns;
+
+ with sig select -- signal assignment label illegal here
+ obus(0) <= 5 after 5 ns when true;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01870 - Signal assignment labels are not permitted as primaries in a selected signal expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01870arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1871.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1871.vhd
new file mode 100644
index 000000000..62b123b21
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1871.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1871.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01871ent IS
+END c07s01b00x00p08n01i01871ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01871arch OF c07s01b00x00p08n01i01871ent IS
+ type small_int is range 0 to 7;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ return c07s01b00x00p08n01i01871arch; -- architecture body name illegal here
+ end value;
+
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01871 - Architecture body names are not permitted as primaries in a function return expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01871arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1872.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1872.vhd
new file mode 100644
index 000000000..ea820aa9a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1872.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1872.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01872ent IS
+END c07s01b00x00p08n01i01872ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01872arch OF c07s01b00x00p08n01i01872ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int;
+BEGIN
+
+ blk : block (s_int = 0)
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ return blk; -- block labels illegal here
+ end value;
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01872 - Block labels are not permitted as primaries in a function return expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01872arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1873.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1873.vhd
new file mode 100644
index 000000000..0d6008d1a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1873.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1873.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01873ent IS
+END c07s01b00x00p08n01i01873ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01873arch OF c07s01b00x00p08n01i01873ent IS
+ type small_int is range 0 to 7;
+BEGIN
+ TESTING : PROCESS
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ return TESTING; -- process labels illegal here
+ end value;
+
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01873d - Process labels are not permitted as primaries in a function return expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01873arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1874.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1874.vhd
new file mode 100644
index 000000000..f54be0332
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1874.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1874.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01874ent IS
+END c07s01b00x00p08n01i01874ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01874arch OF c07s01b00x00p08n01i01874ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true after 5 ns;
+
+ b : block (s_int = 0)
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ return sig; -- signal assignment labels illegal here
+ end value;
+ begin
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01874 - Signal assignment labels are not permitted as primaries in a function return expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01874arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1875.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1875.vhd
new file mode 100644
index 000000000..aff7d9b87
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1875.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1875.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01875ent IS
+END c07s01b00x00p08n01i01875ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01875arch OF c07s01b00x00p08n01i01875ent IS
+ type small_int is range 0 to 7;
+BEGIN
+ TESTING : PROCESS
+ variable car : small_int;
+ BEGIN
+ car := c07s01b00x00p08n01i01875arch; --architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01875 - Architecture body names are not permitted as primaries in a variable assignment expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01875arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1876.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1876.vhd
new file mode 100644
index 000000000..00af8afe5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1876.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1876.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01876ent IS
+END c07s01b00x00p08n01i01876ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01876arch OF c07s01b00x00p08n01i01876ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int;
+BEGIN
+ blk : block (s_int = 0)
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ variable car : small_int;
+ BEGIN
+ car := blk; --block labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01876 - Block labels are not permitted as primaries in a variable assignment expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01876arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1877.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1877.vhd
new file mode 100644
index 000000000..24fe41d62
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1877.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1877.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01877ent IS
+END c07s01b00x00p08n01i01877ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01877arch OF c07s01b00x00p08n01i01877ent IS
+ type small_int is range 0 to 7;
+BEGIN
+ TESTING : PROCESS
+ variable car : small_int;
+ BEGIN
+ car := TESTING; --process labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01877 - Process labels are not permitted as primaries in a variable assignment expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01877arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1878.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1878.vhd
new file mode 100644
index 000000000..88e20dc40
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1878.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1878.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01878ent IS
+END c07s01b00x00p08n01i01878ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01878arch OF c07s01b00x00p08n01i01878ent IS
+ type small_int is range 0 to 7;
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true after 5 ns;
+
+ TESTING : PROCESS
+ variable car : small_int;
+ BEGIN
+ car := sig; --signal assignment labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01878 - Signal assignment labels are not permitted as primaries in a variable assignment expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01878arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1879.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1879.vhd
new file mode 100644
index 000000000..5e5d600e4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1879.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1879.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01879ent IS
+END c07s01b00x00p08n01i01879ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01879arch OF c07s01b00x00p08n01i01879ent IS
+ type small_int is range 0 to 7;
+BEGIN
+
+ TESTING : PROCESS
+ variable tmp : small_int;
+ BEGIN
+ case c07s01b00x00p08n01i01879arch is -- body name illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01879 - Architecture body names are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01879arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1880.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1880.vhd
new file mode 100644
index 000000000..6fc6ebf43
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1880.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1880.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01880ent IS
+END c07s01b00x00p08n01i01880ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01880arch OF c07s01b00x00p08n01i01880ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int;
+BEGIN
+ blk : block(s_int = 0)
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ variable tmp : small_int;
+ BEGIN
+ case blk is -- block labels illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01880 - Block labels are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01880arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1881.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1881.vhd
new file mode 100644
index 000000000..07825073e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1881.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1881.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01881ent IS
+END c07s01b00x00p08n01i01881ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01881arch OF c07s01b00x00p08n01i01881ent IS
+ type small_int is range 0 to 7;
+BEGIN
+
+ TESTING : PROCESS
+ variable tmp : small_int;
+ BEGIN
+ case TESTING is -- process labels illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01881 - Process labels are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01881arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1882.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1882.vhd
new file mode 100644
index 000000000..4d9b48031
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1882.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1882.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01882ent IS
+END c07s01b00x00p08n01i01882ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01882arch OF c07s01b00x00p08n01i01882ent IS
+ type small_int is range 0 to 7;
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true;
+
+ TESTING : PROCESS
+ variable tmp : small_int;
+ BEGIN
+ case sig is -- signal assignment labels illegal here
+ when 0 => tmp := 0;
+ when others => tmp := 1;
+ end case;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01882 - Signal assignment labels are not permitted as primaries in a case expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01882arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1883.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1883.vhd
new file mode 100644
index 000000000..01841f0ae
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1883.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1883.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01883ent IS
+END c07s01b00x00p08n01i01883ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01883arch OF c07s01b00x00p08n01i01883ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ obus <= (0 =>c07s01b00x00p08n01i01883arch, others => 5) after 5 ns;
+ -- architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01883 - Architecture body names are not permitted as primaries in a element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01883arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1884.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1884.vhd
new file mode 100644
index 000000000..843f71ec0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1884.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1884.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01884ent IS
+END c07s01b00x00p08n01i01884ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01884arch OF c07s01b00x00p08n01i01884ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal s_int : small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+
+ blk : block (s_int = 0)
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ obus <= (0 => blk, others => 5) after 5 ns; -- block label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01884 - Block labels are not permitted as primaries in a element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01884arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1885.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1885.vhd
new file mode 100644
index 000000000..9b3debae5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1885.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1885.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01885ent IS
+END c07s01b00x00p08n01i01885ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01885arch OF c07s01b00x00p08n01i01885ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ obus <= (0 => TESTING, others => 5) after 5 ns; -- process label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01885 - Process labels are not permitted as primaries in a element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01885arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1886.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1886.vhd
new file mode 100644
index 000000000..2c8c94155
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1886.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1886.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01886ent IS
+END c07s01b00x00p08n01i01886ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01886arch OF c07s01b00x00p08n01i01886ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ obus <= (0 => lop, others => 5) after 5 ns; -- loop label illegal here
+ end loop;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01886 - Loop labels are not permitted as primaries in a element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01886arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1887.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1887.vhd
new file mode 100644
index 000000000..459e713ba
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1887.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1887.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01887ent IS
+END c07s01b00x00p08n01i01887ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01887arch OF c07s01b00x00p08n01i01887ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal obus : cmd_bus(small_int);
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true;
+
+ TESTING : PROCESS
+ BEGIN
+ obus <= (0 => sig, others => 5) after 5 ns;
+ -- signal assignment label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01887 - Signal assignment labels are not permitted as primaries in a element association expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01887arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1888.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1888.vhd
new file mode 100644
index 000000000..203c20b5b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1888.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1888.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01888ent IS
+END c07s01b00x00p08n01i01888ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01888arch OF c07s01b00x00p08n01i01888ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int'(c07s01b00x00p08n01i01888arch)) after 5 ns;
+ -- architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01888 - Architecture body names are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01888arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1889.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1889.vhd
new file mode 100644
index 000000000..fff5920c1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1889.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1889.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01889ent IS
+END c07s01b00x00p08n01i01889ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01889arch OF c07s01b00x00p08n01i01889ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+
+ blk : block (s_int = 0)
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int'(blk)) after 5 ns;
+ -- architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01889 - Block labels are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01889arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc189.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc189.vhd
new file mode 100644
index 000000000..4016b2f34
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc189.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc189.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s05b00x00p02n01i00189ent IS
+END c04s05b00x00p02n01i00189ent;
+
+ARCHITECTURE c04s05b00x00p02n01i00189arch OF c04s05b00x00p02n01i00189ent IS
+ component A2 generic (constant G2 : out BOOLEAN); -- Failure_here
+ -- ERROR: the
+ -- only mode allowed in a
+ -- local generic list is in.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s05b00x00p02n01i00189 - Mode out is not allowed in a local generic."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s05b00x00p02n01i00189arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1890.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1890.vhd
new file mode 100644
index 000000000..010e94af9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1890.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1890.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01890ent IS
+END c07s01b00x00p08n01i01890ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01890arch OF c07s01b00x00p08n01i01890ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int'(TESTING)) after 5 ns;
+ -- process label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01890 - Process labels are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01890arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1891.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1891.vhd
new file mode 100644
index 000000000..063bc09e5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1891.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1891.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01891ent IS
+END c07s01b00x00p08n01i01891ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01891arch OF c07s01b00x00p08n01i01891ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ s_int <= ibus'right(small_int'(lop)) after 5 ns;
+ -- loop label illegal here
+ end loop lop;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01891 - Loop labels are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01891arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1892.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1892.vhd
new file mode 100644
index 000000000..3e50ad568
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1892.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1892.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01892ent IS
+END c07s01b00x00p08n01i01892ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01892arch OF c07s01b00x00p08n01i01892ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ sig : s_int <= 0;
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int'(sig)) after 5 ns;
+ -- signal assignment label illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01892 - Signal assignment labels are not permitted as primaries in a qualified expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01892arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1893.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1893.vhd
new file mode 100644
index 000000000..f01cc24ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1893.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1893.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01893ent IS
+END c07s01b00x00p08n01i01893ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01893arch OF c07s01b00x00p08n01i01893ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int(c07s01b00x00p08n01i01893arch)) after 5 ns;
+ -- architecture body name illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01893 - Architecture body names are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01893arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1894.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1894.vhd
new file mode 100644
index 000000000..074fc480e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1894.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1894.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01894ent IS
+END c07s01b00x00p08n01i01894ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01894arch OF c07s01b00x00p08n01i01894ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ blk : block(s_int = 0)
+ begin
+ end block blk;
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int(blk)) after 5 ns;
+ -- block labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01894 - Block labels are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01894arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1895.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1895.vhd
new file mode 100644
index 000000000..31b8a5aa4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1895.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1895.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01895ent IS
+END c07s01b00x00p08n01i01895ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01895arch OF c07s01b00x00p08n01i01895ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int(TESTING)) after 5 ns;
+ -- process labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01895 - Process labels are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01895arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1896.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1896.vhd
new file mode 100644
index 000000000..7786b27cb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1896.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1896.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01896ent IS
+END c07s01b00x00p08n01i01896ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01896arch OF c07s01b00x00p08n01i01896ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ lop : for i in small_int loop
+ s_int <= ibus'right(small_int(lop)) after 5 ns;
+ -- loop labels illegal here
+ end loop lop;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01896 - Loop labels are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01896arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1897.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1897.vhd
new file mode 100644
index 000000000..4ff8e2852
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1897.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1897.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01897ent IS
+END c07s01b00x00p08n01i01897ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01897arch OF c07s01b00x00p08n01i01897ent IS
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ signal ibus : cmd_bus(small_int);
+ signal s_int : small_int;
+ signal bool : boolean;
+BEGIN
+ sig : bool <= true;
+
+ TESTING : PROCESS
+ BEGIN
+ s_int <= ibus'right(small_int(sig)) after 5 ns;
+ -- signal assignment labels illegal here
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01897 - Signal assignment labels are not permitted as primaries in a type conversion expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01897arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1898.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1898.vhd
new file mode 100644
index 000000000..5e4b43c93
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1898.vhd
@@ -0,0 +1,113 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1898.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01898pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01898pkg;
+
+
+use work.c07s01b00x00p08n01i01898pkg.all;
+entity c07s01b00x00p08n01i01898ent_a is
+ generic ( constant bus_width : small_int);
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+end c07s01b00x00p08n01i01898ent_a;
+
+architecture c07s01b00x00p08n01i01898arch_a of c07s01b00x00p08n01i01898ent_a is
+begin
+ assert true ;
+end c07s01b00x00p08n01i01898arch_a;
+
+
+use work.c07s01b00x00p08n01i01898pkg.all;
+ENTITY c07s01b00x00p08n01i01898ent IS
+END c07s01b00x00p08n01i01898ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01898arch OF c07s01b00x00p08n01i01898ent IS
+
+ constant bus_width : natural := 8;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ generic ( constant bus_width : small_int := 5 );
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01898ent_a(c07s01b00x00p08n01i01898arch_a);
+ begin
+ obus <= (0 => 1, others => 0) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ c : test
+ generic map ( c07s01b00x00p08n01i01898arch ) --architecture body name illegal here
+ port map ( ibus, obus2 );
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "body name accepted as primary in a component instantiation generic map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01898 - Architecture body names are not permitted as primaries in a component instantiation generic map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01898arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1899.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1899.vhd
new file mode 100644
index 000000000..28f3320a0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1899.vhd
@@ -0,0 +1,113 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1899.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01899pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01899pkg;
+
+
+use work.c07s01b00x00p08n01i01899pkg.all;
+entity c07s01b00x00p08n01i01899ent_a is
+ generic ( constant bus_width : small_int);
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+end c07s01b00x00p08n01i01899ent_a;
+
+architecture c07s01b00x00p08n01i01899arch_a of c07s01b00x00p08n01i01899ent_a is
+begin
+ assert true ;
+end c07s01b00x00p08n01i01899arch_a;
+
+
+use work.c07s01b00x00p08n01i01899pkg.all;
+ENTITY c07s01b00x00p08n01i01899ent IS
+END c07s01b00x00p08n01i01899ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01899arch OF c07s01b00x00p08n01i01899ent IS
+
+ constant bus_width : natural := 8;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ generic ( constant bus_width : small_int := 5 );
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01899ent_a(c07s01b00x00p08n01i01899arch_a);
+ begin
+ obus <= (0 => 1, others => value) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ c : test
+ generic map ( b ) --block labels illegal here
+ port map ( ibus, obus2 );
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "body name accepted as primary in a component instantiation generic map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01899d - Block labels are not permitted as primaries in a component instantiation generic map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01899arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc19.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc19.vhd
new file mode 100644
index 000000000..c0e70b20c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc19.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc19.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p09n03i00019ent IS
+END c04s02b00x00p09n03i00019ent;
+
+ARCHITECTURE c04s02b00x00p09n03i00019arch OF c04s02b00x00p09n03i00019ent IS
+ type A1 is range 50 to 100;
+ subtype B1 is A1 range 1 to 60; -- Failure_here
+ subtype C1 is B1 range 2 to 50;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s02b00x00p09n03i00019 - Range constraints for the subtype declarations contradict the range of the subtype indication.(integer)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p09n03i00019arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc190.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc190.vhd
new file mode 100644
index 000000000..decdbaaac
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc190.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc190.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s05b00x00p02n01i00190ent IS
+END c04s05b00x00p02n01i00190ent;
+
+ARCHITECTURE c04s05b00x00p02n01i00190arch OF c04s05b00x00p02n01i00190ent IS
+ component A2 generic (constant G2 : inout BOOLEAN); -- Failure_here
+ -- ERROR: the
+ -- only mode allowed in a
+ -- local generic list is in.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s05b00x00p02n01i00190 - Mode inout is not allowed in a local generic."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s05b00x00p02n01i00190arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1900.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1900.vhd
new file mode 100644
index 000000000..0a5d333e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1900.vhd
@@ -0,0 +1,84 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1900.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p08n01i01900ent_a IS
+ generic ( constant bus_width : natural);
+ port ( signal in_bus : in integer;
+ signal out_bus : out integer);
+END c07s01b00x00p08n01i01900ent_a;
+
+ARCHITECTURE c07s01b00x00p08n01i01900arch_a OF c07s01b00x00p08n01i01900ent_a IS
+BEGIN
+ assert true;
+END c07s01b00x00p08n01i01900arch_a;
+
+
+ENTITY c07s01b00x00p08n01i01900ent IS
+END c07s01b00x00p08n01i01900ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01900arch OF c07s01b00x00p08n01i01900ent IS
+
+ constant bus_width : natural:= 8;
+ signal s_int : integer;
+ signal ibus, obus, obus2 : integer;
+
+ component test
+ generic ( constant bus_width : natural := 5 );
+ port ( signal in_bus : in integer;
+ signal out_bus : out integer );
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ for c2 : test use entity work.ch0701_p00801_91_ent_a(c07s01b00x00p08n01i01900arch_a);
+ begin
+ p: process ( s_int )
+ begin
+ l: for i in 0 to 7 loop
+ assert false
+ report "process labels accepted as primary in a component instantiation generic map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+ c2 : test generic map (p) -- process label illegal here
+ port map (ibus, obus2);
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01900 - Process labels are not permitted as primaries in a component instantiation generic map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01900arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1901.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1901.vhd
new file mode 100644
index 000000000..3f4fda660
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1901.vhd
@@ -0,0 +1,107 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1901.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01901pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01901pkg;
+
+use work.c07s01b00x00p08n01i01901pkg.all;
+ENTITY c07s01b00x00p08n01i01901ent_a IS
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+END c07s01b00x00p08n01i01901ent_a;
+
+ARCHITECTURE c07s01b00x00p08n01i01901arch_a OF c07s01b00x00p08n01i01901ent_a IS
+BEGIN
+ assert true;
+END c07s01b00x00p08n01i01901arch_a;
+
+
+use work.c07s01b00x00p08n01i01901pkg.all;
+ENTITY c07s01b00x00p08n01i01901ent IS
+END c07s01b00x00p08n01i01901ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01901arch OF c07s01b00x00p08n01i01901ent IS
+
+ constant bus_width : natural := 7;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01901ent_a(c07s01b00x00p08n01i01901arch_a);
+ begin
+ obus <= (0 => 1, others => value) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ c : test port map ( ibus, c07s01b00x00p08n01i01901arch ); -- body name illegal here
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "body name accepted as primary in a component instantiation port map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01901 - Architecture body name are not permitted as primaries in a component instantiation port map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01901arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1902.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1902.vhd
new file mode 100644
index 000000000..207e6998b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1902.vhd
@@ -0,0 +1,107 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1902.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01902pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01902pkg;
+
+use work.c07s01b00x00p08n01i01902pkg.all;
+ENTITY c07s01b00x00p08n01i01902ent_a IS
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+END c07s01b00x00p08n01i01902ent_a;
+
+ARCHITECTURE c07s01b00x00p08n01i01902arch_a OF c07s01b00x00p08n01i01902ent_a IS
+BEGIN
+ assert true;
+END c07s01b00x00p08n01i01902arch_a;
+
+
+use work.c07s01b00x00p08n01i01902pkg.all;
+ENTITY c07s01b00x00p08n01i01902ent IS
+END c07s01b00x00p08n01i01902ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01902arch OF c07s01b00x00p08n01i01902ent IS
+
+ constant bus_width : natural := 7;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01902ent_a(c07s01b00x00p08n01i0190293_arch_a);
+ begin
+ obus <= (0 => 1, others => value) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ c : test port map ( ibus, b ); -- block label illegal here
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "block label accepted as primary in a component instantiation port map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01902 - Block labels are not permitted as primaries in a component instantiation port map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01902arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1903.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1903.vhd
new file mode 100644
index 000000000..da4480af4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1903.vhd
@@ -0,0 +1,108 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1903.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01903pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01903pkg;
+
+use work.c07s01b00x00p08n01i01903pkg.all;
+ENTITY c07s01b00x00p08n01i01903ent_a IS
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+END c07s01b00x00p08n01i01903ent_a;
+
+ARCHITECTURE c07s01b00x00p08n01i01903arch_a OF c07s01b00x00p08n01i01903ent_a IS
+BEGIN
+ assert true;
+END c07s01b00x00p08n01i01903arch_a;
+
+
+use work.c07s01b00x00p08n01i01903pkg.all;
+ENTITY c07s01b00x00p08n01i01903ent IS
+END c07s01b00x00p08n01i01903ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01903arch OF c07s01b00x00p08n01i01903ent IS
+
+ constant bus_width : natural := 7;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01903ent_a(c07s01b00x00p08n01i01903arch_a);
+ begin
+ obus <= (0 => 1, others => value) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "process label accepted as primary in a component instantiation port map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+
+ c : test port map ( ibus, p ); -- process label illegal here
+
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01903 - Process labels are not permitted as primaries in a component instantiation port map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01903arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1904.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1904.vhd
new file mode 100644
index 000000000..ba8f1e9df
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1904.vhd
@@ -0,0 +1,108 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1904.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s01b00x00p08n01i01904pkg is
+ type small_int is range 0 to 7;
+ type cmd_bus is array (small_int range <>) of small_int;
+ constant bus_width : small_int := 7;
+end c07s01b00x00p08n01i01904pkg;
+
+use work.c07s01b00x00p08n01i01904pkg.all;
+ENTITY c07s01b00x00p08n01i01904ent_a IS
+ port ( signal in_bus : in cmd_bus (0 to bus_width);
+ signal out_bus : out cmd_bus (0 to bus_width));
+END c07s01b00x00p08n01i01904ent_a;
+
+ARCHITECTURE c07s01b00x00p08n01i01904arch_a OF c07s01b00x00p08n01i01904ent_a IS
+BEGIN
+ assert true;
+END c07s01b00x00p08n01i01904arch_a;
+
+
+use work.c07s01b00x00p08n01i01904pkg.all;
+ENTITY c07s01b00x00p08n01i01904ent IS
+END c07s01b00x00p08n01i01904ent;
+
+ARCHITECTURE c07s01b00x00p08n01i01904arch OF c07s01b00x00p08n01i01904ent IS
+
+ constant bus_width : natural := 7;
+ signal s_int : small_int := 0;
+ signal ibus, obus, obus2 : cmd_bus(small_int);
+
+ component test
+ port ( signal in_bus : in cmd_bus (0 to small_int(bus_width - 1));
+ signal out_bus : out cmd_bus (0 to small_int(bus_width - 1)));
+ end component;
+
+BEGIN
+ b: block ( s_int = 0 )
+ signal bool : boolean := false;
+
+ function value return small_int is
+ variable tmp : small_int := 0;
+ begin
+ case tmp is
+ when 0 =>
+ tmp := 0;
+ when others =>
+ tmp := 1;
+ end case;
+ return tmp;
+ end value;
+
+ for c : test use entity work.c07s01b00x00p08n01i01904ent_a(c07s01b00x00p08n01i01904arch_a);
+ begin
+ obus <= (0 => 1, others => value) after 5 ns;
+ s: bool <= s_int = ibus'right(1) after 5 ns;
+
+ c : test port map ( ibus, s ); -- signal assignment label illegal here
+
+ p: process ( s_int )
+ begin
+ l: for i in small_int loop
+ assert false
+ report "signal assignment label accepted as primary in a component instantiation port map expression."
+ severity note ;
+ exit l;
+ end loop l;
+ end process p;
+
+ end block b;
+
+ TESTING : PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p08n01i01904 - Signal assignment labels are not permitted as primaries in a component instantiation port map expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p08n01i01904arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1905.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1905.vhd
new file mode 100644
index 000000000..4ab7e335f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1905.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1905.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s01b00x00p09n02i01905ent IS
+END c07s01b00x00p09n02i01905ent;
+
+ARCHITECTURE c07s01b00x00p09n02i01905arch OF c07s01b00x00p09n02i01905ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x, y: bit := '1';
+ BEGIN
+ y := x xor work; -- Failure_here
+ -- the name work has no value assigned to it.
+ assert FALSE
+ report "***FAILED TEST: c07s01b00x00p09n02i01905 - Names denoting objects or values can only be used as primaries."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s01b00x00p09n02i01905arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc191.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc191.vhd
new file mode 100644
index 000000000..51837746d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc191.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc191.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s05b00x00p02n01i00191ent IS
+END c04s05b00x00p02n01i00191ent;
+
+ARCHITECTURE c04s05b00x00p02n01i00191arch OF c04s05b00x00p02n01i00191ent IS
+ component A2 generic (constant G2 : buffer BOOLEAN); -- Failure_here
+ -- ERROR: the
+ -- only mode allowed in a
+ -- local generic list is in.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s05b00x00p02n01i00191 - Mode buffer is not allowed in a local generic."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s05b00x00p02n01i00191arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1913.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1913.vhd
new file mode 100644
index 000000000..ade51d7d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1913.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1913.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n01i01913ent IS
+END c07s02b01x00p01n01i01913ent;
+
+ARCHITECTURE c07s02b01x00p01n01i01913arch OF c07s02b01x00p01n01i01913ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x : integer := 3;
+ variable y : integer := 5;
+ variable z : integer := 9;
+ BEGIN
+ if ((x and y) and (y or z)) then
+ end if; -- logical operators defined only for BIT and BOOLEAN.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n01i01913 - Logical operators defined only for predefined types BIT and BOOLEAN."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n01i01913arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc192.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc192.vhd
new file mode 100644
index 000000000..14fd88b73
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc192.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc192.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s05b00x00p02n01i00192ent IS
+END c04s05b00x00p02n01i00192ent;
+
+ARCHITECTURE c04s05b00x00p02n01i00192arch OF c04s05b00x00p02n01i00192ent IS
+ component A2 generic (constant G2 : linkage BOOLEAN); -- Failure_here
+ -- ERROR: the
+ -- only mode allowed in a
+ -- local generic list is in.
+ end component ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s05b00x00p02n01i00192 - Mode linkage is not allowed in a local generic."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s05b00x00p02n01i00192arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1926.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1926.vhd
new file mode 100644
index 000000000..09c913d79
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1926.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1926.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n02i01926ent IS
+END c07s02b01x00p01n02i01926ent;
+
+ARCHITECTURE c07s02b01x00p01n02i01926arch OF c07s02b01x00p01n02i01926ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A is array ( 1 to 1, 1 to 1 ) of BOOLEAN;
+ variable A1 : A;
+ BEGIN
+ A1 := A'(1=>(1=>TRUE)) and A'(1=>(1=>FALSE)); -- Failure_here
+ -- SEMANTIC ERROR: "and" not defined for multi-dimensional arrays.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n02i01926 - Logical operators are not valid for multi-dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n02i01926arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1927.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1927.vhd
new file mode 100644
index 000000000..2508a0e15
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1927.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1927.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n02i01927ent IS
+END c07s02b01x00p01n02i01927ent;
+
+ARCHITECTURE c07s02b01x00p01n02i01927arch OF c07s02b01x00p01n02i01927ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A is array ( 1 to 1, 1 to 1 ) of BOOLEAN;
+ variable A1 : A;
+ BEGIN
+ A1 := A'(1=>(1=>TRUE)) or A'(1=>(1=>FALSE)); -- Failure_here
+ -- SEMANTIC ERROR: "or" not defined for multi-dimensional arrays.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n02i01927d - Logical operators are not valid for multi-dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n02i01927arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1928.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1928.vhd
new file mode 100644
index 000000000..1331dc663
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1928.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1928.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n02i01928ent IS
+END c07s02b01x00p01n02i01928ent;
+
+ARCHITECTURE c07s02b01x00p01n02i01928arch OF c07s02b01x00p01n02i01928ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B is array ( 1 to 1, 1 to 1 ) of BIT;
+ variable B1 : B;
+ BEGIN
+ B1 := B'(1=>(1=>'1')) xor B'(1=>(1=>'0')); -- Failure_here
+ -- SEMANTIC ERROR: "xor" not defined for multi-dimensional arrays.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n02i01928 - Logical operators are not valid for multi-dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n02i01928arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1929.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1929.vhd
new file mode 100644
index 000000000..093883a07
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1929.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1929.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n02i01929ent IS
+END c07s02b01x00p01n02i01929ent;
+
+ARCHITECTURE c07s02b01x00p01n02i01929arch OF c07s02b01x00p01n02i01929ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B is array ( 1 to 1, 1 to 1 ) of BIT;
+ variable B1 : B;
+ BEGIN
+ B1 := not B'(1=>(1=>'0')); -- Failure_here
+ -- SEMANTIC ERROR: "not" not defined for multi-dimensional arrays.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n02i01929 - Logical operators are not valid for multi-dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n02i01929arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc193.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc193.vhd
new file mode 100644
index 000000000..4ce39da55
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc193.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc193.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s05b00x00p02n01i00193ent IS
+END c04s05b00x00p02n01i00193ent;
+
+ARCHITECTURE c04s05b00x00p02n01i00193arch OF c04s05b00x00p02n01i00193ent IS
+ component C1
+ generic (T1 : TIME; T2 : Integer) ;
+ port (P1 : in BIT;
+ P2 : out BIT ;
+ P3 : linkage BIT) ;
+ end component -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s05b00x00p02n01i00193 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s05b00x00p02n01i00193arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1930.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1930.vhd
new file mode 100644
index 000000000..c2c3d35aa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1930.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1930.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n02i01930ent IS
+END c07s02b01x00p01n02i01930ent;
+
+ARCHITECTURE c07s02b01x00p01n02i01930arch OF c07s02b01x00p01n02i01930ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B is array ( 1 to 1, 1 to 1 ) of BIT;
+ variable B1 : B;
+ BEGIN
+ B1 := B'(1=>(1=>'0')) nor B'(1=>(1=>'1')); -- Failure_here
+ -- SEMANTIC ERROR: "nor" not defined for multi-dimensional arrays.
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n02i01930 - Logical operators are not valid for multi-dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n02i01930arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1933.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1933.vhd
new file mode 100644
index 000000000..b813cfda4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1933.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1933.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01933ent IS
+END c07s02b01x00p01n04i01933ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01933arch OF c07s02b01x00p01n04i01933ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ z := (x and y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01933 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01933arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1934.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1934.vhd
new file mode 100644
index 000000000..dfd0fbe9a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1934.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1934.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01934ent IS
+END c07s02b01x00p01n04i01934ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01934arch OF c07s02b01x00p01n04i01934ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ z := (x or y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01934 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01934arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1935.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1935.vhd
new file mode 100644
index 000000000..a56a66019
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1935.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1935.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01935ent IS
+END c07s02b01x00p01n04i01935ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01935arch OF c07s02b01x00p01n04i01935ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ z := (x nand y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01935 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01935arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1936.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1936.vhd
new file mode 100644
index 000000000..3a6a50182
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1936.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1936.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01936ent IS
+END c07s02b01x00p01n04i01936ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01936arch OF c07s02b01x00p01n04i01936ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ z := (x xor y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01936 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01936arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1937.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1937.vhd
new file mode 100644
index 000000000..867c5acdc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1937.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1937.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01937ent IS
+END c07s02b01x00p01n04i01937ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01937arch OF c07s02b01x00p01n04i01937ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ c := (a and b); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01937 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01937arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1938.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1938.vhd
new file mode 100644
index 000000000..2caa0c1a3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1938.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1938.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01938ent IS
+END c07s02b01x00p01n04i01938ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01938arch OF c07s02b01x00p01n04i01938ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ c := (a or b); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01938 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01938arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1939.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1939.vhd
new file mode 100644
index 000000000..99d9da0fb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1939.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1939.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01939ent IS
+END c07s02b01x00p01n04i01939ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01939arch OF c07s02b01x00p01n04i01939ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ c := (a nand b); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01939 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01939arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1940.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1940.vhd
new file mode 100644
index 000000000..07af1af5c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1940.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1940.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01940ent IS
+END c07s02b01x00p01n04i01940ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01940arch OF c07s02b01x00p01n04i01940ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ c := (a nor b); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01940 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01940arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1941.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1941.vhd
new file mode 100644
index 000000000..29148c1b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1941.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1941.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b01x00p01n04i01941ent IS
+END c07s02b01x00p01n04i01941ent;
+
+ARCHITECTURE c07s02b01x00p01n04i01941arch OF c07s02b01x00p01n04i01941ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (positive range <>) of boolean;
+ variable x : array_one( 1 to 10);
+ variable y : array_one(1 to 5);
+ variable z : array_one(1 to 10);
+ type array_two is array (positive range <>) of bit;
+ variable a : array_two( 1 to 10);
+ variable b : array_two(1 to 5);
+ variable c : array_two(1 to 10);
+ BEGIN
+ c := (a xor b); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b01x00p01n04i01941 - Operands should be arrays of the same length."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b01x00p01n04i01941arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc195.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc195.vhd
new file mode 100644
index 000000000..b79a53b9e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc195.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc195.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s00b00x00p11n01i00195ent IS
+END c03s00b00x00p11n01i00195ent;
+
+ARCHITECTURE c03s00b00x00p11n01i00195arch OF c03s00b00x00p11n01i00195ent IS
+ type T1 is array (0 to 31) of BIT;
+ subtype T2 is integer range 2 to 20;
+ signal S1 : T2 ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 <= 25 after 10 ns;
+ wait for 20 ns;
+ assert NOT(S1 = 25)
+ report "***PASSED TEST: c03s00b00x00p11n01i00195"
+ severity NOTE;
+ assert ( S1 = 25 )
+ report "***FAILED TEST: c03s00b00x00p11n01i00195 - Value doesn't belong to the range of the subtype of the object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s00b00x00p11n01i00195arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc196.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc196.vhd
new file mode 100644
index 000000000..f0208903a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc196.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc196.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p03n01i00196ent IS
+END c03s01b00x00p03n01i00196ent;
+
+ARCHITECTURE c03s01b00x00p03n01i00196arch OF c03s01b00x00p03n01i00196ent IS
+ type er1 is 0 to 4;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p03n01i00196 - The reserved word 'range' is missing in the range constraint."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p03n01i00196arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc197.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc197.vhd
new file mode 100644
index 000000000..c84d0692d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc197.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc197.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p04n01i00197ent IS
+END c03s01b00x00p04n01i00197ent;
+
+ARCHITECTURE c03s01b00x00p04n01i00197arch OF c03s01b00x00p04n01i00197ent IS
+ type t1 is range 0 to 300;
+ type t2 is range -100 to 0;
+ type t3 is range t1 to t2;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p04n01i00197 - Illegal assignment in range constraint for type declaration of 't3'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p04n01i00197arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1977.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1977.vhd
new file mode 100644
index 000000000..6a4f70fd2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1977.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1977.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p01n02i01977ent IS
+END c07s02b02x00p01n02i01977ent;
+
+ARCHITECTURE c07s02b02x00p01n02i01977arch OF c07s02b02x00p01n02i01977ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_type is array (1 to 10) of integer;
+ constant x : integer := 3;
+ variable y : array_type := (0,9,8,7,6,5,4,3,2,1);
+ BEGIN
+ if (x = y) then -- Failure_here
+ --operands should be of the same type.
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p01n02i01977 - Operands of a relational operator should be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p01n02i01977arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1979.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1979.vhd
new file mode 100644
index 000000000..2cc65b419
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1979.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1979.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p01n02i01979ent IS
+END c07s02b02x00p01n02i01979ent;
+
+ARCHITECTURE c07s02b02x00p01n02i01979arch OF c07s02b02x00p01n02i01979ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable NUM1 : BIT_VECTOR(0 to 1) := B"01";
+ variable NUM2 : STRING(1 to 2) := "01";
+ BEGIN
+ if (NUM1 = NUM2) then -- Failure_here
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p01n02i01979 - Operands of a relational operator should be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p01n02i01979arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1980.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1980.vhd
new file mode 100644
index 000000000..ea7b90b3b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1980.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1980.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p01n02i01980ent IS
+END c07s02b02x00p01n02i01980ent;
+
+ARCHITECTURE c07s02b02x00p01n02i01980arch OF c07s02b02x00p01n02i01980ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Define a subtype of a subtype.
+ subtype ZERO is NATURAL range 0 to 0;
+
+ -- Define a subtype of a different type.
+ type A is range 0 to 10;
+ subtype ASUB is A;
+
+ -- Define variables of these subtypes.
+ variable ZEROV : ZERO := 0;
+ variable AV : ASUB := 0;
+ BEGIN
+ -- Failure_here : Should not be legal to compare these two types.
+ if (ZEROV = AV) then
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p01n02i01980 - Operands of a relational operator should be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p01n02i01980arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1989.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1989.vhd
new file mode 100644
index 000000000..f8afac9a6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1989.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1989.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p07n01i01989ent IS
+END c07s02b02x00p07n01i01989ent;
+
+ARCHITECTURE c07s02b02x00p07n01i01989arch OF c07s02b02x00p07n01i01989ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ft is file of integer;
+ file f1 : ft is "01.vhdl";
+ file f2 : ft is "02.vhdl";
+ BEGIN
+ if (f1=f2) then -- Failure_here
+ -- equality and inequality operators are
+ NULL; -- not defined for file types.
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p07n01i01989 - Equality operators are not defined for file types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p07n01i01989arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc199.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc199.vhd
new file mode 100644
index 000000000..c534e9fbb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc199.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc199.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p04n01i00199ent IS
+END c03s01b00x00p04n01i00199ent;
+
+ARCHITECTURE c03s01b00x00p04n01i00199arch OF c03s01b00x00p04n01i00199ent IS
+ type t1 is range (1 = 1) to (1 = 1);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p04n01i00199 -The bounds in the range constraint are not legal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p04n01i00199arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1990.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1990.vhd
new file mode 100644
index 000000000..96ae3a789
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1990.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc1990.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p07n01i01990ent IS
+END c07s02b02x00p07n01i01990ent;
+
+ARCHITECTURE c07s02b02x00p07n01i01990arch OF c07s02b02x00p07n01i01990ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ft is file of integer;
+ file f1 : ft is "01.vhdl";
+ file f2 : ft is "02.vhdl";
+ BEGIN
+ if (f1/=f2) then -- Failure_here
+ -- equality and inequality operators are
+ NULL; -- not defined for file types.
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p07n01i01990 - Inequality operators are not defined for file types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p07n01i01990arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2.vhd
new file mode 100644
index 000000000..d3c32dc53
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p03n01i00002ent IS
+END c04s01b00x00p03n01i00002ent;
+
+ARCHITECTURE c04s01b00x00p03n01i00002arch OF c04s01b00x00p03n01i00002ent IS
+ type t1 range 0.012345 to 300.012345; -- Error: missing 'is'
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p03n01i00002 - The reserved word 'is' is missing in the type declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p03n01i00002arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc20.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc20.vhd
new file mode 100644
index 000000000..95c004237
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc20.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc20.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p09n03i00020ent IS
+END c04s02b00x00p09n03i00020ent;
+
+ARCHITECTURE c04s02b00x00p09n03i00020arch OF c04s02b00x00p09n03i00020ent IS
+ type A2 is range 50.0 to 100.0;
+ subtype B2 is A2 range 1.0 to 60.0; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s02b00x00p09n03i00020 - Range constraints for the subtype declarations contradict the range of the subtype indication.(real)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s02b00x00p09n03i00020arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc200.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc200.vhd
new file mode 100644
index 000000000..5a5ef81f5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc200.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc200.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p04n01i00200ent IS
+END c03s01b00x00p04n01i00200ent;
+
+ARCHITECTURE c03s01b00x00p04n01i00200arch OF c03s01b00x00p04n01i00200ent IS
+ type twos_complement_integer is range -32768 to 32767;
+ type J is
+ range twos_complement_integer -- Failure_here
+ units
+ A;
+ B = 10 A;
+ C = 10 B;
+ D = 10 C;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p04n01i00200 -The bounds in the range constraint are not legal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p04n01i00200arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2002.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2002.vhd
new file mode 100644
index 000000000..0d88df972
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2002.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2002.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:40:54 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:27:56 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:35:51 1996 --
+-- **************************** --
+
+
+use std.textio.all;
+ENTITY c07s02b02x00p07n01i02002ent IS
+END c07s02b02x00p07n01i02002ent;
+
+ARCHITECTURE c07s02b02x00p07n01i02002arch OF c07s02b02x00p07n01i02002ent IS
+
+BEGIN
+ TESTING: PROCESS
+ file f1 : text open write_mode is "aout";
+ file f2 : text open write_mode is "aout";
+ BEGIN
+ if f1 = f2 then
+ null;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p07n01i02002 - Equality operators are not defined for file types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p07n01i02002arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2003.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2003.vhd
new file mode 100644
index 000000000..474aa46ce
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2003.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2003.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:40:54 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:27:56 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:35:51 1996 --
+-- **************************** --
+
+
+use std.textio.all;
+ENTITY c07s02b02x00p07n01i02003ent IS
+END c07s02b02x00p07n01i02003ent;
+
+ARCHITECTURE c07s02b02x00p07n01i02003arch OF c07s02b02x00p07n01i02003ent IS
+
+BEGIN
+ TESTING: PROCESS
+ file f1 : text open write_mode is "aout";
+ file f2 : text open write_mode is "aout";
+ BEGIN
+ if f1 /= f2 then
+ null;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p07n01i02003 - Inequality operators are not defined for file types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p07n01i02003arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2009.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2009.vhd
new file mode 100644
index 000000000..8c88c12ad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2009.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2009.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b02x00p10n01i02009ent IS
+END c07s02b02x00p10n01i02009ent;
+
+ARCHITECTURE c07s02b02x00p10n01i02009arch OF c07s02b02x00p10n01i02009ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec_type is
+ record
+ i : integer;
+ end record;
+ variable j, k : rec_type;
+ BEGIN
+ j.i := 2;
+ k.i := 1;
+ if (k<j) then -- Failure_here
+ NULL;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c07s02b02x00p10n01i02009 - Ordering operators are defined only for scalar type or any discrete array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b02x00p10n01i02009arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2018.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2018.vhd
new file mode 100644
index 000000000..0796e393c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2018.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2018.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02018ent IS
+END c07s02b04x00p01n01i02018ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02018arch OF c07s02b04x00p01n01i02018ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable y : bit;
+ BEGIN
+ y := bit'('1') + 3; -- Failure_here
+ -- + operator predefined only for numeric
+ -- types.
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02018 - The adding operators are predefined only for numeric types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02018arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2019.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2019.vhd
new file mode 100644
index 000000000..f3f906d79
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2019.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2019.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02019ent IS
+END c07s02b04x00p01n01i02019ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02019arch OF c07s02b04x00p01n01i02019ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_type is array (1 to 10) of integer;
+ variable x : array_type := (1,2,3,4,5,6,7,8,9,10);
+ variable y : array_type := (1,2,3,4,5,6,7,8,9,0);
+ variable z : array_type;
+ BEGIN
+ z := (x + y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02019 - The adding operators are predefined only for numeric types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02019arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc202.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc202.vhd
new file mode 100644
index 000000000..baff33743
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc202.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc202.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p04n01i00202ent IS
+END c03s01b00x00p04n01i00202ent;
+
+ARCHITECTURE c03s01b00x00p04n01i00202arch OF c03s01b00x00p04n01i00202ent IS
+ type a is range 6 to ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p04n01i00202 -The range must be either a range attribute name or two simple expressions combined with a direction operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p04n01i00202_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2020.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2020.vhd
new file mode 100644
index 000000000..fa5694a82
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2020.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2020.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02020ent IS
+END c07s02b04x00p01n01i02020ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02020arch OF c07s02b04x00p01n01i02020ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_type is array (1 to 10) of integer;
+ variable x : array_type := (1,2,3,4,5,6,7,8,9,10);
+ variable y : array_type := (1,2,3,4,5,6,7,8,9,0);
+ variable z : array_type;
+ BEGIN
+ z := (x - y); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02020 - The adding operators are predefined only for numeric types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02020arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2023.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2023.vhd
new file mode 100644
index 000000000..7de80ef41
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2023.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2023.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02023ent IS
+END c07s02b04x00p01n01i02023ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02023arch OF c07s02b04x00p01n01i02023ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ BEGIN
+ SWITCHV := SWITCHV + '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02023 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02023arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2024.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2024.vhd
new file mode 100644
index 000000000..03dcdf213
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2024.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2024.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02024ent IS
+END c07s02b04x00p01n01i02024ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02024arch OF c07s02b04x00p01n01i02024ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ BEGIN
+ LOGICV := LOGICV + SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02024 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02024arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2025.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2025.vhd
new file mode 100644
index 000000000..3959e68ab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2025.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2025.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02025ent IS
+END c07s02b04x00p01n01i02025ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02025arch OF c07s02b04x00p01n01i02025ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ BEGIN
+ LOGICV := LOGICV + '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02025 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02025arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2026.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2026.vhd
new file mode 100644
index 000000000..bcc4580e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2026.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2026.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02026ent IS
+END c07s02b04x00p01n01i02026ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02026arch OF c07s02b04x00p01n01i02026ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ BEGIN
+ SWITCHV := SWITCHV - '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02026 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02026arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2027.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2027.vhd
new file mode 100644
index 000000000..4baa189a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2027.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2027.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02027ent IS
+END c07s02b04x00p01n01i02027ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02027arch OF c07s02b04x00p01n01i02027ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ BEGIN
+ LOGICV := LOGICV - SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02027 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02027arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2028.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2028.vhd
new file mode 100644
index 000000000..b84088daf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2028.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2028.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02028ent IS
+END c07s02b04x00p01n01i02028ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02028arch OF c07s02b04x00p01n01i02028ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ BEGIN
+ LOGICV := LOGICV - '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02028 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02028arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2029.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2029.vhd
new file mode 100644
index 000000000..7efc754df
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2029.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2029.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02029ent IS
+END c07s02b04x00p01n01i02029ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02029arch OF c07s02b04x00p01n01i02029ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ BEGIN
+ CHARV := CHARV + NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02029 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02029arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2030.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2030.vhd
new file mode 100644
index 000000000..7d7172dec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2030.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2030.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02030ent IS
+END c07s02b04x00p01n01i02030ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02030arch OF c07s02b04x00p01n01i02030ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ BEGIN
+ CHARV := CHARV - NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02030 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02030arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2031.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2031.vhd
new file mode 100644
index 000000000..dd9d3477c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2031.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2031.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02031ent IS
+END c07s02b04x00p01n01i02031ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02031arch OF c07s02b04x00p01n01i02031ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ BEGIN
+ CHARV := '0' + '2';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02031 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02031arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2032.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2032.vhd
new file mode 100644
index 000000000..1b772b2ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2032.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2032.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02032ent IS
+END c07s02b04x00p01n01i02032ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02032arch OF c07s02b04x00p01n01i02032ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ BEGIN
+ CHARV := '0' - '2';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02032 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02032arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2033.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2033.vhd
new file mode 100644
index 000000000..519df12fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2033.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2033.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02033ent IS
+END c07s02b04x00p01n01i02033ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02033arch OF c07s02b04x00p01n01i02033ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ BEGIN
+ BITV := BITV + '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02033 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02033arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2034.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2034.vhd
new file mode 100644
index 000000000..7bbca85c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2034.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2034.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02034ent IS
+END c07s02b04x00p01n01i02034ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02034arch OF c07s02b04x00p01n01i02034ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '1';
+ BEGIN
+ BITV := BITV - '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02034 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02034arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2035.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2035.vhd
new file mode 100644
index 000000000..f8553dc69
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2035.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2035.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02035ent IS
+END c07s02b04x00p01n01i02035ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02035arch OF c07s02b04x00p01n01i02035ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ BEGIN
+ BITV := BITV - BITV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02035 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02035arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2036.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2036.vhd
new file mode 100644
index 000000000..2c218d58d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2036.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2036.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02036ent IS
+END c07s02b04x00p01n01i02036ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02036arch OF c07s02b04x00p01n01i02036ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ BEGIN
+ BOOLV := BOOLV + BOOLV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02036 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02036arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2037.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2037.vhd
new file mode 100644
index 000000000..7d8d0142e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2037.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2037.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02037ent IS
+END c07s02b04x00p01n01i02037ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02037arch OF c07s02b04x00p01n01i02037ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ BEGIN
+ BOOLV := BOOLV + TRUE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02037 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02037arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2038.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2038.vhd
new file mode 100644
index 000000000..5a2eaed5e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2038.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2038.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02038ent IS
+END c07s02b04x00p01n01i02038ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02038arch OF c07s02b04x00p01n01i02038ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ BEGIN
+ BOOLV := BOOLV - TRUE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02038 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02038arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2039.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2039.vhd
new file mode 100644
index 000000000..9039859bd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2039.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2039.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02039ent IS
+END c07s02b04x00p01n01i02039ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02039arch OF c07s02b04x00p01n01i02039ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ BEGIN
+ BOOLV := BOOLV - FALSE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02039 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02039arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2040.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2040.vhd
new file mode 100644
index 000000000..e0ecad1c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2040.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2040.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02040ent IS
+END c07s02b04x00p01n01i02040ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02040arch OF c07s02b04x00p01n01i02040ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ BEGIN
+ SEVERV := SEVERV + SEVERV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02040 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02040arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2041.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2041.vhd
new file mode 100644
index 000000000..da1cf7ffd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2041.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2041.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02041ent IS
+END c07s02b04x00p01n01i02041ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02041arch OF c07s02b04x00p01n01i02041ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ BEGIN
+ SEVERV := SEVERV + WARNING;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02041 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02041arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2042.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2042.vhd
new file mode 100644
index 000000000..dfa7eecb0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2042.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2042.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02042ent IS
+END c07s02b04x00p01n01i02042ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02042arch OF c07s02b04x00p01n01i02042ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ BEGIN
+ SEVERV := SEVERV - WARNING;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02042 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02042arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2043.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2043.vhd
new file mode 100644
index 000000000..61bb0c506
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2043.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2043.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02043ent IS
+END c07s02b04x00p01n01i02043ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02043arch OF c07s02b04x00p01n01i02043ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ BEGIN
+ SEVERV := SEVERV - NOTE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02043 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02043arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2044.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2044.vhd
new file mode 100644
index 000000000..55a703c84
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2044.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2044.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02044ent IS
+END c07s02b04x00p01n01i02044ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02044arch OF c07s02b04x00p01n01i02044ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array (INTEGER range <>) of BIT;
+ variable MEMORYV : MEMORY( 0 to 31 );
+ BEGIN
+ MEMORYV : MEMORYV + MEMORYV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02044 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02044arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2045.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2045.vhd
new file mode 100644
index 000000000..3701cede4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2045.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2045.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02045ent IS
+END c07s02b04x00p01n01i02045ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02045arch OF c07s02b04x00p01n01i02045ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ variable WORDV : WORD;
+ BEGIN
+ WORDV := WORDV - WORDV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02045 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02045arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2046.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2046.vhd
new file mode 100644
index 000000000..bd81dfa8e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2046.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2046.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02046ent IS
+END c07s02b04x00p01n01i02046ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02046arch OF c07s02b04x00p01n01i02046ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE is array(7 downto 0) of BIT;
+ variable BYTEV : BYTE;
+ BEGIN
+ BYTEV := BVTEV - BYTEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02046 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02046arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2047.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2047.vhd
new file mode 100644
index 000000000..0bd856a3c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2047.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2047.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02047ent IS
+END c07s02b04x00p01n01i02047ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02047arch OF c07s02b04x00p01n01i02047ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ BEGIN
+ STRINGV := STRINGV + "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02047 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02047arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2048.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2048.vhd
new file mode 100644
index 000000000..aaf76b3bb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2048.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2048.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02048ent IS
+END c07s02b04x00p01n01i02048ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02048arch OF c07s02b04x00p01n01i02048ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ BEGIN
+ STRINGV := "goodbye" + "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02048 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02048arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2049.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2049.vhd
new file mode 100644
index 000000000..6162180f8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2049.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2049.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02049ent IS
+END c07s02b04x00p01n01i02049ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02049arch OF c07s02b04x00p01n01i02049ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ BEGIN
+ STRINGV := "goodbye" - "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02049 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02049arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2050.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2050.vhd
new file mode 100644
index 000000000..072297106
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2050.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2050.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02050ent IS
+END c07s02b04x00p01n01i02050ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02050arch OF c07s02b04x00p01n01i02050ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ BEGIN
+ STRINGV := STRINGV - "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02050 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02050arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2051.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2051.vhd
new file mode 100644
index 000000000..9fd01399a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2051.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2051.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02051ent IS
+END c07s02b04x00p01n01i02051ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02051arch OF c07s02b04x00p01n01i02051ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITSTRV : BIT_VECTOR( 0 to 7 );
+ BEGIN
+ BITSTRV := BITSTRV + "01010101";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02051 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02051arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2052.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2052.vhd
new file mode 100644
index 000000000..c105fb141
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2052.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2052.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02052ent IS
+END c07s02b04x00p01n01i02052ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02052arch OF c07s02b04x00p01n01i02052ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITSTRV : BIT_VECTOR( 0 to 7 );
+ BEGIN
+ BITSTRV := "10101010" + "01010101";
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02052 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02052arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2053.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2053.vhd
new file mode 100644
index 000000000..a48b639d3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2053.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2053.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02053ent IS
+END c07s02b04x00p01n01i02053ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02053arch OF c07s02b04x00p01n01i02053ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+ variable RECV : DATE;
+ BEGIN
+ RECV := RECV + (DAY=>14, MONTH=>2, YEAR=>1988);
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02053 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02053arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2054.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2054.vhd
new file mode 100644
index 000000000..d1cde7b3a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2054.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2054.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02054ent IS
+END c07s02b04x00p01n01i02054ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02054arch OF c07s02b04x00p01n01i02054ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+ variable RECV : DATE;
+ BEGIN
+ RECV := RECV - (DAY=>14, MONTH=>2, YEAR=>1988);
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02054 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02054arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2055.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2055.vhd
new file mode 100644
index 000000000..29c328c49
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2055.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2055.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02055ent IS
+END c07s02b04x00p01n01i02055ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02055arch OF c07s02b04x00p01n01i02055ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+ variable ADDRESSV: ADDRESS;
+ BEGIN
+ ADDRESSV := ADDRESSV + NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02055 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02055arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2056.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2056.vhd
new file mode 100644
index 000000000..25ca9259d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2056.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2056.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02056ent IS
+END c07s02b04x00p01n01i02056ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02056arch OF c07s02b04x00p01n01i02056ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+ variable ADDRESSV: ADDRESS;
+ BEGIN
+ ADDRESSV := ADDRESSV - NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02056 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02056arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2057.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2057.vhd
new file mode 100644
index 000000000..4655e24fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2057.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2057.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02057ent IS
+END c07s02b04x00p01n01i02057ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02057arch OF c07s02b04x00p01n01i02057ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FT is file of BIT;
+ file FILEV : FT is "input_file";
+ BEGIN
+ FILEV := FILEV + FILEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02057 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02057arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2058.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2058.vhd
new file mode 100644
index 000000000..a8a17fafa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2058.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2058.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n01i02058ent IS
+END c07s02b04x00p01n01i02058ent;
+
+ARCHITECTURE c07s02b04x00p01n01i02058arch OF c07s02b04x00p01n01i02058ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type FT is file of BIT;
+ file FILEV : FT is "input_file";
+ BEGIN
+ FILEV := FILEV - FILEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n01i02058 - The adding operators + and - are predefined for any numeric type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n01i02058arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2059.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2059.vhd
new file mode 100644
index 000000000..c4cdff601
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2059.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2059.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02059ent IS
+END c07s02b04x00p01n02i02059ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02059arch OF c07s02b04x00p01n02i02059ent IS
+ signal S1 : BOOLEAN := TRUE;
+ signal S2 : BOOLEAN := FALSE;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ case (S1&S2) is -- Failure_here
+ when others => null;
+ end case;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02059 - Concatenation operator cannot be used with this type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02059arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2060.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2060.vhd
new file mode 100644
index 000000000..ed28598c8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2060.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2060.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02060ent IS
+END c07s02b04x00p01n02i02060ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02060arch OF c07s02b04x00p01n02i02060ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable x, y: integer := 1;
+ BEGIN
+ y := x + 2 - bit'('1') + 3; -- Failure_here
+ -- operands must be of the same type.
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02060 - Operands must be of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02060arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2064.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2064.vhd
new file mode 100644
index 000000000..00b665d06
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2064.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2064.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02064ent IS
+END c07s02b04x00p01n02i02064ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02064arch OF c07s02b04x00p01n02i02064ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable INTV : INTEGER := 0;
+ variable DISTV : DISTANCE := 1 A;
+ BEGIN
+ INTV := INTV + DISTV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02064 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02064arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2065.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2065.vhd
new file mode 100644
index 000000000..bcc402ec6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2065.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2065.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02065ent IS
+END c07s02b04x00p01n02i02065ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02065arch OF c07s02b04x00p01n02i02065ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable INTV : INTEGER := 0;
+ variable DISTV : DISTANCE := 1 A;
+ BEGIN
+ INTV := INTV + DISTV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02065 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02065arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2066.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2066.vhd
new file mode 100644
index 000000000..4380169f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2066.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2066.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02066ent IS
+END c07s02b04x00p01n02i02066ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02066arch OF c07s02b04x00p01n02i02066ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable INTV : INTEGER := 0;
+ variable REALV : REAL := 0.0;
+ BEGIN
+ INTV := INTV + REALV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02066 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02066arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2067.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2067.vhd
new file mode 100644
index 000000000..bcbd26907
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2067.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2067.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02067ent IS
+END c07s02b04x00p01n02i02067ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02067arch OF c07s02b04x00p01n02i02067ent IS
+ subtype POSITIVE_R is real range 0.0 to real'high;
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable INTV : INTEGER := 0;
+ variable POSRV : POSITIVE_R := 0.0;
+ BEGIN
+ INTV := INTV + POSRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02067 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02067arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2068.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2068.vhd
new file mode 100644
index 000000000..e95a29437
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2068.vhd
@@ -0,0 +1,72 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2068.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02068ent IS
+END c07s02b04x00p01n02i02068ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02068arch OF c07s02b04x00p01n02i02068ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different type declarations.
+ -- integer types.
+ type POSITIVE is range 0 to INTEGER'HIGH;
+
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable POSV : POSITIVE := 0;
+ variable DISTV : DISTANCE := 1 A;
+ BEGIN
+ POSV := POSV + DISTV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02068 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02068arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2069.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2069.vhd
new file mode 100644
index 000000000..f95f43cef
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2069.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2069.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02069ent IS
+END c07s02b04x00p01n02i02069ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02069arch OF c07s02b04x00p01n02i02069ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different type declarations.
+ -- integer types.
+ type POSITIVE is range 0 to INTEGER'HIGH;
+
+ -- Local declarations.
+ variable POSV : POSITIVE := 0;
+ variable TIMEV : TIME := 1 ns;
+ BEGIN
+ POSV := POSV + TIMEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02069 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02069arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc207.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc207.vhd
new file mode 100644
index 000000000..1647ae4e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc207.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc207.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p09n01i00207ent IS
+END c03s01b00x00p09n01i00207ent;
+
+ARCHITECTURE c03s01b00x00p09n01i00207arch OF c03s01b00x00p09n01i00207ent IS
+ type week is (Mon, Tue, Wed, Thur, Fri, Sat, Sun);
+ subtype weekend is integer range Sat to Sun;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p09n01i00207 - Constraints for the subtype declaration do not match the base type of integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p09n01i00207arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2070.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2070.vhd
new file mode 100644
index 000000000..13775f4d1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2070.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2070.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02070ent IS
+END c07s02b04x00p01n02i02070ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02070arch OF c07s02b04x00p01n02i02070ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different type declarations.
+ -- integer types.
+ type POSITIVE is range 0 to INTEGER'HIGH;
+
+ -- Local declarations.
+ variable POSV : POSITIVE := 0;
+ variable REALV : REAL := 0.0;
+ BEGIN
+ POSV := POSV + REALV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02070 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02070arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2071.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2071.vhd
new file mode 100644
index 000000000..040301621
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2071.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2071.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02071ent IS
+END c07s02b04x00p01n02i02071ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02071arch OF c07s02b04x00p01n02i02071ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different type declarations.
+ -- integer types.
+ type POSITIVE is range 0 to INTEGER'HIGH;
+
+ -- floating point types.
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+
+ -- Local declarations.
+ variable POSV : POSITIVE := 0;
+ variable POSRV : POSITIVE_R := 0.0;
+ BEGIN
+ POSV := POSV + POSRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02071 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02071arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2072.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2072.vhd
new file mode 100644
index 000000000..f6827f5ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2072.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2072.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02072ent IS
+END c07s02b04x00p01n02i02072ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02072arch OF c07s02b04x00p01n02i02072ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- floating point types.
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+
+ -- Local declarations.
+ variable DISTV : DISTANCE := 1 A;
+ variable TIMEV : TIME := 1 ns;
+ BEGIN
+ DISTV := DISTV + TIMEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02072 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02072arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2073.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2073.vhd
new file mode 100644
index 000000000..e65db1fd0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2073.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2073.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02073ent IS
+END c07s02b04x00p01n02i02073ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02073arch OF c07s02b04x00p01n02i02073ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- floating point types.
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+
+ -- Local declarations.
+ variable DISTV : DISTANCE := 1 A;
+ variable REALV : REAL := 0.0;
+ BEGIN
+ DISTV := DISTV + REALV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02073 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02073arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2074.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2074.vhd
new file mode 100644
index 000000000..6f43496ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2074.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2074.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02074ent IS
+END c07s02b04x00p01n02i02074ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02074arch OF c07s02b04x00p01n02i02074ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- floating point types.
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+
+ -- Local declarations.
+ variable DISTV : DISTANCE := 1 A;
+ variable POSRV : POSITIVE_R := 0.0;
+ BEGIN
+ DISTV := DISTV + POSRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02074 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02074arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2075.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2075.vhd
new file mode 100644
index 000000000..71c7449d9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2075.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2075.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02075ent IS
+END c07s02b04x00p01n02i02075ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02075arch OF c07s02b04x00p01n02i02075ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable TIMEV : TIME := 1 ns;
+ variable REALV : REAL := 0.0;
+ BEGIN
+ TIMEV := TIMEV + REALV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02075 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02075arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2076.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2076.vhd
new file mode 100644
index 000000000..eb182c1c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2076.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2076.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b04x00p01n02i02076ent IS
+END c07s02b04x00p01n02i02076ent;
+
+ARCHITECTURE c07s02b04x00p01n02i02076arch OF c07s02b04x00p01n02i02076ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- floating point types.
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+
+ -- Local declarations.
+ variable TIMEV : TIME := 1 ns;
+ variable POSRV : POSITIVE_R := 0.0;
+ BEGIN
+ TIMEV := TIMEV + POSRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b04x00p01n02i02076 - The operands of the operators + and - cannot be of different types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b04x00p01n02i02076arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc21.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc21.vhd
new file mode 100644
index 000000000..741bb0a78
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc21.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc21.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p09n03i00021ent IS
+END c04s02b00x00p09n03i00021ent;
+
+ARCHITECTURE c04s02b00x00p09n03i00021arch OF c04s02b00x00p09n03i00021ent IS
+ type A3 is ('a', 'b', 'c', 'd', 'e');
+ subtype B3 is A3 range 'b' to 'd';
+ subtype C3 is B3 range 'a' to 'e'; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s02b00x00p09n03i00021 - Range constraints for the subtype declarations contradict the range of the subtype indication.(character)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p09n03i00021arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc210.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc210.vhd
new file mode 100644
index 000000000..762c8d98d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc210.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc210.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b00x00p09n01i00210ent IS
+END c03s01b00x00p09n01i00210ent;
+
+ARCHITECTURE c03s01b00x00p09n01i00210arch OF c03s01b00x00p09n01i00210ent IS
+ type hex is range 0 to 15;
+ subtype byte is hex range 0.0 to 7;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b00x00p09n01i00210 - The type of expression is not the same as the base type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b00x00p09n01i00210arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc212.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc212.vhd
new file mode 100644
index 000000000..7d55f0ea2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc212.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc212.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p02n01i00212ent IS
+END c03s01b01x00p02n01i00212ent;
+
+ARCHITECTURE c03s01b01x00p02n01i00212arch OF c03s01b01x00p02n01i00212ent IS
+ type ENUM1 is ( );
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b01x00p02n01i00212 - Literal list in enumeration type definition cannot be empty."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p02n01i00212arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc214.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc214.vhd
new file mode 100644
index 000000000..26f25f8e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc214.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc214.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p03n01i00214ent IS
+END c03s01b01x00p03n01i00214ent;
+
+ARCHITECTURE c03s01b01x00p03n01i00214arch OF c03s01b01x00p03n01i00214ent IS
+ type ENUM2 is (3);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b01x00p03n01i00214 - Enumeration literal cannot be a string or integer literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p03n01i00214arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc215.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc215.vhd
new file mode 100644
index 000000000..f4f24534a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc215.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc215.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p03n01i00215ent IS
+END c03s01b01x00p03n01i00215ent;
+
+ARCHITECTURE c03s01b01x00p03n01i00215arch OF c03s01b01x00p03n01i00215ent IS
+ type ENUM3 is (TUV, "XYZ");
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b01x00p03n01i00215 - Enumeration literal cannot be a string or integer lliteral."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p03n01i00215arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc216.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc216.vhd
new file mode 100644
index 000000000..ccd60464a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc216.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc216.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p04n01i00216ent IS
+END c03s01b01x00p04n01i00216ent;
+
+ARCHITECTURE c03s01b01x00p04n01i00216arch OF c03s01b01x00p04n01i00216ent IS
+ type t37 is ('a', 'b', 'a');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b01x00p04n01i00216 - Element listed twice in the declaration for the enumeration type t37."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p04n01i00216arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2170.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2170.vhd
new file mode 100644
index 000000000..b38105cd3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2170.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2170.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b05x00p01n01i02170ent IS
+END c07s02b05x00p01n01i02170ent;
+
+ARCHITECTURE c07s02b05x00p01n01i02170arch OF c07s02b05x00p01n01i02170ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant z1: boolean := + true; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s02b05x00p01n01i02170 - Signs + can be used with only numeric types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b05x00p01n01i02170arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2171.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2171.vhd
new file mode 100644
index 000000000..789424d9a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2171.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2171.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b05x00p01n01i02171ent IS
+END c07s02b05x00p01n01i02171ent;
+
+ARCHITECTURE c07s02b05x00p01n01i02171arch OF c07s02b05x00p01n01i02171ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant z1: boolean := - true; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s02b05x00p01n01i02171 - Signs - can be used with only numeric types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b05x00p01n01i02171arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2188.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2188.vhd
new file mode 100644
index 000000000..8b19a13a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2188.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2188.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02188ent IS
+END c07s02b00x00p01n02i02188ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02188arch OF c07s02b00x00p01n02i02188ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant a : integer := 3;
+ constant b : integer := 5;
+ variable c : integer;
+ BEGIN
+ c := a/-b; -- Failure_here
+ -- signed operator cannot follow /.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02188 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02188arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2189.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2189.vhd
new file mode 100644
index 000000000..fbefde9d6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2189.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2189.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02189ent IS
+END c07s02b00x00p01n02i02189ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02189arch OF c07s02b00x00p01n02i02189ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := R + - R; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow adding operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02189 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02189arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2190.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2190.vhd
new file mode 100644
index 000000000..4866ad587
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2190.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2190.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02190ent IS
+END c07s02b00x00p01n02i02190ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02190arch OF c07s02b00x00p01n02i02190ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := R - - R; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow adding operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02190 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02190arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2191.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2191.vhd
new file mode 100644
index 000000000..6c67da665
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2191.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2191.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02191ent IS
+END c07s02b00x00p01n02i02191ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02191arch OF c07s02b00x00p01n02i02191ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ A(1 to 2) := A(1) & - A(2); -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow adding operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02191 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02191arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2192.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2192.vhd
new file mode 100644
index 000000000..dca5722ce
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2192.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2192.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02192ent IS
+END c07s02b00x00p01n02i02192ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02192arch OF c07s02b00x00p01n02i02192ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := R * + R; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow multiplying operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02192 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02192arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2193.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2193.vhd
new file mode 100644
index 000000000..dc23a4816
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2193.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2193.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02193ent IS
+END c07s02b00x00p01n02i02193ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02193arch OF c07s02b00x00p01n02i02193ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := R * + R; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow multiplying operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02193 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02193arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2194.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2194.vhd
new file mode 100644
index 000000000..5eeb89dad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2194.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2194.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02194ent IS
+END c07s02b00x00p01n02i02194ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02194arch OF c07s02b00x00p01n02i02194ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ I := I mod + I; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow multiplying operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02194 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02194arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2195.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2195.vhd
new file mode 100644
index 000000000..2d2a6a24c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2195.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2195.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02195ent IS
+END c07s02b00x00p01n02i02195ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02195arch OF c07s02b00x00p01n02i02195ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := I rem - I; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow multiplying operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02195 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02195arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2196.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2196.vhd
new file mode 100644
index 000000000..7e13bda64
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2196.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2196.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02196ent IS
+END c07s02b00x00p01n02i02196ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02196arch OF c07s02b00x00p01n02i02196ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := R ** + I; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow misc. operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02196 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02196arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2197.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2197.vhd
new file mode 100644
index 000000000..4c1df4a83
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2197.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2197.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02197ent IS
+END c07s02b00x00p01n02i02197ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02197arch OF c07s02b00x00p01n02i02197ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ R := abs - R; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow misc. operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02197 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02197arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2198.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2198.vhd
new file mode 100644
index 000000000..ef763f2b0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2198.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2198.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b00x00p01n02i02198ent IS
+END c07s02b00x00p01n02i02198ent;
+
+ARCHITECTURE c07s02b00x00p01n02i02198arch OF c07s02b00x00p01n02i02198ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array (1 to 2) of CHARACTER;
+ variable I : INTEGER;
+ variable R : REAL;
+ variable B : BOOLEAN;
+ variable A : A_ARRAY;
+ BEGIN
+ B := not - B; -- Failure_here
+ -- SYNTAX ERROR: signed operator cannot follow logical operator.
+ assert FALSE
+ report "***FAILED TEST: c07s02b00x00p01n02i02198 - Signed operand cannot follow a mutiplying operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b00x00p01n02i02198arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc22.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc22.vhd
new file mode 100644
index 000000000..7e1ac9540
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc22.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc22.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p09n03i00022ent IS
+END c04s02b00x00p09n03i00022ent;
+
+ARCHITECTURE c04s02b00x00p09n03i00022arch OF c04s02b00x00p09n03i00022ent IS
+ subtype B4 is time range 10 ns to 100 ns;
+ subtype C4 is B4 range 1 ns to 150 ns; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s02b00x00p09n03i00022 - Range constraints for the subtype declarations contradict the range of the subtype indication.(physical)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p09n03i00022arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2201.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2201.vhd
new file mode 100644
index 000000000..8d5202574
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2201.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2201.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02201ent IS
+END c07s02b06x00p01n01i02201ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02201arch OF c07s02b06x00p01n01i02201ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (1 to 10) of boolean;
+ type array_two is array (1 to 20) of boolean;
+ variable x : array_one;
+ variable y : array_two;
+ variable z : integer;
+ BEGIN
+ z := x * y; -- Failure_here
+ -- multiplying operator cannot operate on array types.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02201 - Multiplying operators are predefined only for integer and floating point types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02201arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2202.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2202.vhd
new file mode 100644
index 000000000..18228f291
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2202.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2202.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02202ent IS
+END c07s02b06x00p01n01i02202ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02202arch OF c07s02b06x00p01n01i02202ent IS
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (1 to 10) of boolean;
+ type array_two is array (1 to 20) of boolean;
+ variable x : array_one;
+ variable y : array_two;
+ variable z : integer;
+ BEGIN
+ z := x / y; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02202 - Multiplying operators are predefined only for integer and floating point types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02202arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2207.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2207.vhd
new file mode 100644
index 000000000..0937960a7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2207.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2207.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02207ent IS
+END c07s02b06x00p01n01i02207ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02207arch OF c07s02b06x00p01n01i02207ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant x : real := 15.5;
+ constant y : integer := 9;
+ variable z : integer;
+ BEGIN
+ z := x mod y; -- Failure_here
+ -- mod is not defined for real types.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02207 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02207arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2209.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2209.vhd
new file mode 100644
index 000000000..8e32c6d3d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2209.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2209.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02209ent IS
+END c07s02b06x00p01n01i02209ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02209arch OF c07s02b06x00p01n01i02209ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ -- Local declarations.
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable k : integer;
+ BEGIN
+ k := SWITCHV mod '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02209 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02209arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc221.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc221.vhd
new file mode 100644
index 000000000..9356128ef
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc221.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc221.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00221ent IS
+END c03s01b01x00p07n01i00221ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00221arch OF c03s01b01x00p07n01i00221ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ if ('0' = '0') then
+ k := 5;
+ end if;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00221"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00221 - The type of the overloaded enumeration literal is not determined form the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00221arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2210.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2210.vhd
new file mode 100644
index 000000000..7e6174be3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2210.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2210.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02210ent IS
+END c07s02b06x00p01n01i02210ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02210arch OF c07s02b06x00p01n01i02210ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+
+ -- Local declarations.
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable k : integer;
+ BEGIN
+ k := LOGICV mod SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02210 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02210arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2211.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2211.vhd
new file mode 100644
index 000000000..5cec4816e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2211.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2211.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02211ent IS
+END c07s02b06x00p01n01i02211ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02211arch OF c07s02b06x00p01n01i02211ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ -- Local declarations.
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable k : integer;
+ BEGIN
+ k := LOGICV mod '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02211 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02211arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2212.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2212.vhd
new file mode 100644
index 000000000..55f42406f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2212.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2212.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02212ent IS
+END c07s02b06x00p01n01i02212ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02212arch OF c07s02b06x00p01n01i02212ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ -- Local declarations.
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable k : integer;
+ BEGIN
+ k := SWITCHV rem '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02212 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02212arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2213.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2213.vhd
new file mode 100644
index 000000000..73a66bf88
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2213.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2213.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02213ent IS
+END c07s02b06x00p01n01i02213ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02213arch OF c07s02b06x00p01n01i02213ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ -- Local declarations.
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable k : integer;
+ BEGIN
+ k := LOGICV rem SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02213 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02213arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2214.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2214.vhd
new file mode 100644
index 000000000..19a2fbd27
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2214.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2214.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02214ent IS
+END c07s02b06x00p01n01i02214ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02214arch OF c07s02b06x00p01n01i02214ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+
+ -- Local declarations.
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable k : integer;
+ BEGIN
+ k := LOGICV rem '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02214 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02214arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2215.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2215.vhd
new file mode 100644
index 000000000..8e1b90523
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2215.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2215.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02215ent IS
+END c07s02b06x00p01n01i02215ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02215arch OF c07s02b06x00p01n01i02215ent IS
+BEGIN
+ TESTING: PROCESS
+ -- All different non-numeric type declarations.
+ -- Local declarations.
+ variable CHARV : CHARACTER := '0';
+ variable k : integer;
+ BEGIN
+ k := NULL mod CHARV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02215 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02215arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2216.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2216.vhd
new file mode 100644
index 000000000..9bcaf9c53
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2216.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2216.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02216ent IS
+END c07s02b06x00p01n01i02216ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02216arch OF c07s02b06x00p01n01i02216ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := '0' mod '2';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02216 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02216arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2217.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2217.vhd
new file mode 100644
index 000000000..959a02e36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2217.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2217.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02217ent IS
+END c07s02b06x00p01n01i02217ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02217arch OF c07s02b06x00p01n01i02217ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable BITV : BIT := '0';
+ variable k : integer;
+ BEGIN
+ k := BITV mod '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02217 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02217arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2218.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2218.vhd
new file mode 100644
index 000000000..742f4b08f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2218.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2218.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02218ent IS
+END c07s02b06x00p01n01i02218ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02218arch OF c07s02b06x00p01n01i02218ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable CHARV : CHARACTER := '0';
+ variable k : integer;
+ BEGIN
+ k := NULL rem CHARV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02218 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02218arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2219.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2219.vhd
new file mode 100644
index 000000000..094f90e28
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2219.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2219.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02219ent IS
+END c07s02b06x00p01n01i02219ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02219arch OF c07s02b06x00p01n01i02219ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := '0' rem '2';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02219 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02219arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc222.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc222.vhd
new file mode 100644
index 000000000..caec72a7d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc222.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc222.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00222ent IS
+END c03s01b01x00p07n01i00222ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00222arch OF c03s01b01x00p07n01i00222ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (GG = GG) then
+ k := 5;
+ end if;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00222"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00222 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00222arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2220.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2220.vhd
new file mode 100644
index 000000000..8ac5ff906
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2220.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2220.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02220ent IS
+END c07s02b06x00p01n01i02220ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02220arch OF c07s02b06x00p01n01i02220ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ variable k : integer;
+ BEGIN
+ k := BITV mod BITV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02220 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02220arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2221.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2221.vhd
new file mode 100644
index 000000000..de6e38ad1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2221.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2221.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02221ent IS
+END c07s02b06x00p01n01i02221ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02221arch OF c07s02b06x00p01n01i02221ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ variable k : integer;
+ BEGIN
+ k := BITV rem '0';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02221 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02221arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2222.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2222.vhd
new file mode 100644
index 000000000..34d43e58b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2222.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2222.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02222ent IS
+END c07s02b06x00p01n01i02222ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02222arch OF c07s02b06x00p01n01i02222ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ variable k : integer;
+ BEGIN
+ k := BITV rem '1';
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02222 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02222arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2223.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2223.vhd
new file mode 100644
index 000000000..8c82e3852
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2223.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2223.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02223ent IS
+END c07s02b06x00p01n01i02223ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02223arch OF c07s02b06x00p01n01i02223ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ variable k : integer;
+ BEGIN
+ k := BOOLV mod BOOLV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02223 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02223arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2224.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2224.vhd
new file mode 100644
index 000000000..8be545a3b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2224.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2224.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02224ent IS
+END c07s02b06x00p01n01i02224ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02224arch OF c07s02b06x00p01n01i02224ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ variable k : integer;
+ BEGIN
+ k := BOOLV mod TRUE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02224 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02224arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2225.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2225.vhd
new file mode 100644
index 000000000..bfb425be6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2225.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2225.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02225ent IS
+END c07s02b06x00p01n01i02225ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02225arch OF c07s02b06x00p01n01i02225ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ variable k : integer;
+ BEGIN
+ k := BOOLV rem FALSE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02225 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02225arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2226.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2226.vhd
new file mode 100644
index 000000000..a9df28b02
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2226.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2226.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02226ent IS
+END c07s02b06x00p01n01i02226ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02226arch OF c07s02b06x00p01n01i02226ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BOOLV : BOOLEAN := FALSE;
+ variable k : integer;
+ BEGIN
+ k := BOOLV rem TRUE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02226 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02226arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2227.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2227.vhd
new file mode 100644
index 000000000..e5be24bf5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2227.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2227.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02227ent IS
+END c07s02b06x00p01n01i02227ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02227arch OF c07s02b06x00p01n01i02227ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable k : integer;
+ BEGIN
+ k := SEVERV mod SEVERV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02227 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02227arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2228.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2228.vhd
new file mode 100644
index 000000000..a26287bb2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2228.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2228.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02228ent IS
+END c07s02b06x00p01n01i02228ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02228arch OF c07s02b06x00p01n01i02228ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable k : integer;
+ BEGIN
+ k := SEVERV mod WARNING;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02228 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02228arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2229.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2229.vhd
new file mode 100644
index 000000000..5c2813c55
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2229.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2229.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02229ent IS
+END c07s02b06x00p01n01i02229ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02229arch OF c07s02b06x00p01n01i02229ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable k : integer;
+ BEGIN
+ k := SEVERV rem NOTE;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02229 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02229arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc223.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc223.vhd
new file mode 100644
index 000000000..a4d9091c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc223.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc223.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00223ent IS
+END c03s01b01x00p07n01i00223ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00223arch OF c03s01b01x00p07n01i00223ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if (FALSE = FALSE) then
+ k := 5;
+ end if;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00223"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00223 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00223arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2230.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2230.vhd
new file mode 100644
index 000000000..4a9aa44bc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2230.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2230.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02230ent IS
+END c07s02b06x00p01n01i02230ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02230arch OF c07s02b06x00p01n01i02230ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable k : integer;
+ BEGIN
+ k := SEVERV rem WARNING;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02230 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02230arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2231.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2231.vhd
new file mode 100644
index 000000000..30477cf5e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2231.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2231.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02231ent IS
+END c07s02b06x00p01n01i02231ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02231arch OF c07s02b06x00p01n01i02231ent IS
+BEGIN
+ TESTING: PROCESS
+ variable REALV : REAL;
+ variable k : integer;
+ BEGIN
+ k := REALV mod 3.0;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02231 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02231arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2232.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2232.vhd
new file mode 100644
index 000000000..9a23d61ba
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2232.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2232.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02232ent IS
+END c07s02b06x00p01n01i02232ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02232arch OF c07s02b06x00p01n01i02232ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type POSITIVE_R is range 0.0 to REAL'HIGH;
+ variable REALV : REAL;
+ variable POSRV : POSITIVE_R;
+ variable k : integer;
+ BEGIN
+ k := POSRV mod REALV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02232 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02232arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2233.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2233.vhd
new file mode 100644
index 000000000..193407df7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2233.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2233.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02233ent IS
+END c07s02b06x00p01n01i02233ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02233arch OF c07s02b06x00p01n01i02233ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+ end units;
+ variable DISTV : DISTANCE;
+ variable k : integer;
+ BEGIN
+ k := DISTV mod 1 A;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02233 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02233arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2234.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2234.vhd
new file mode 100644
index 000000000..2f6a23a25
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2234.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2234.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02234ent IS
+END c07s02b06x00p01n01i02234ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02234arch OF c07s02b06x00p01n01i02234ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+ end units;
+
+ variable k : integer;
+ BEGIN
+ k := 4 nm mod 1 A;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02234 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02234arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2235.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2235.vhd
new file mode 100644
index 000000000..5bdc0ea0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2235.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2235.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02235ent IS
+END c07s02b06x00p01n01i02235ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02235arch OF c07s02b06x00p01n01i02235ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable TIMEV : TIME;
+ variable k : integer;
+ BEGIN
+ k := TIMEV mod 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02235 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02235arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2236.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2236.vhd
new file mode 100644
index 000000000..c30d3bd30
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2236.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2236.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02236ent IS
+END c07s02b06x00p01n01i02236ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02236arch OF c07s02b06x00p01n01i02236ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := 4 sec mod 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02236 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02236arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2237.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2237.vhd
new file mode 100644
index 000000000..8a5c1588a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2237.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2237.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02237ent IS
+END c07s02b06x00p01n01i02237ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02237arch OF c07s02b06x00p01n01i02237ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- array types.
+ type MEMORY is array(INTEGER range <>) of BIT;
+
+ variable MEMORYV : MEMORY( 0 to 31 );
+ variable k : integer;
+ BEGIN
+ k := MEMORYV mod MEMORYV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02237 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02237arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2238.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2238.vhd
new file mode 100644
index 000000000..d60f53dc7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2238.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2238.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02238ent IS
+END c07s02b06x00p01n01i02238ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02238arch OF c07s02b06x00p01n01i02238ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- array types.
+ type WORD is array(0 to 31) of BIT;
+
+ -- Local declarations.
+ variable WORDV : WORD;
+ variable k : integer;
+ BEGIN
+ k := WORDV rem WORDV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02238 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02238arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2239.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2239.vhd
new file mode 100644
index 000000000..30d274de5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2239.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2239.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02239ent IS
+END c07s02b06x00p01n01i02239ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02239arch OF c07s02b06x00p01n01i02239ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE is array(7 downto 0) of BIT;
+ variable BYTEV : BYTE;
+ variable k : integer;
+ BEGIN
+ k := BYTEV rem BYTEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02239 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02239arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc224.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc224.vhd
new file mode 100644
index 000000000..f8c958b6d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc224.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc224.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00224ent IS
+END c03s01b01x00p07n01i00224ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00224arch OF c03s01b01x00p07n01i00224ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if ('A' = 'Z') then
+ k := 5;
+ end if;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00224"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00224 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00224arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2240.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2240.vhd
new file mode 100644
index 000000000..2857e1810
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2240.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2240.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02240ent IS
+END c07s02b06x00p01n01i02240ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02240arch OF c07s02b06x00p01n01i02240ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 32 );
+ variable k : integer;
+ BEGIN
+ k := STRINGV mod "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02240 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02240arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2241.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2241.vhd
new file mode 100644
index 000000000..32c7abd05
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2241.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2241.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02241ent IS
+END c07s02b06x00p01n01i02241ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02241arch OF c07s02b06x00p01n01i02241ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := "goodbye, world" mod "hello, world";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02241 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02241arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2242.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2242.vhd
new file mode 100644
index 000000000..e7d1b6a42
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2242.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2242.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02242ent IS
+END c07s02b06x00p01n01i02242ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02242arch OF c07s02b06x00p01n01i02242ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITSTRV : BIT_VECTOR( 0 to 31 );
+ variable k : integer;
+ BEGIN
+ k := BITSTRV mod X"7777";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02242 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02242arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2243.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2243.vhd
new file mode 100644
index 000000000..663cc2036
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2243.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2243.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02243ent IS
+END c07s02b06x00p01n01i02243ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02243arch OF c07s02b06x00p01n01i02243ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := B"1010101010" mod X"FFFF";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02243 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02243arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2244.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2244.vhd
new file mode 100644
index 000000000..11fc8d5d1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2244.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2244.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02244ent IS
+END c07s02b06x00p01n01i02244ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02244arch OF c07s02b06x00p01n01i02244ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITSTRV : BIT_VECTOR( 0 to 31 );
+ variable k : integer;
+ BEGIN
+ k := BITSTRV rem X"7777";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02244 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02244arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2245.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2245.vhd
new file mode 100644
index 000000000..a7e4aff72
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2245.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2245.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02245ent IS
+END c07s02b06x00p01n01i02245ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02245arch OF c07s02b06x00p01n01i02245ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : integer;
+ BEGIN
+ k := B"1010101010" rem X"FFFF";
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02245 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02245arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2246.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2246.vhd
new file mode 100644
index 000000000..8e766cb09
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2246.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2246.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02246ent IS
+END c07s02b06x00p01n01i02246ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02246arch OF c07s02b06x00p01n01i02246ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- record types.
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+
+ variable RECV : DATE;
+ variable k : integer;
+ BEGIN
+ k := RECV mod ( DAY => 14, MONTH => 2, YEAR => 1988 );
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02246 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02246arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2247.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2247.vhd
new file mode 100644
index 000000000..b4c472b2d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2247.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2247.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02247ent IS
+END c07s02b06x00p01n01i02247ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02247arch OF c07s02b06x00p01n01i02247ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- record types.
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+
+ variable RECV : DATE;
+ variable k : integer;
+ BEGIN
+ k := RECV rem ( DAY => 14, MONTH => 2, YEAR => 1988 );
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02247 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02247arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2248.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2248.vhd
new file mode 100644
index 000000000..53d956350
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2248.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2248.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02248ent IS
+END c07s02b06x00p01n01i02248ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02248arch OF c07s02b06x00p01n01i02248ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- array types.
+ type MEMORY is array(INTEGER range <>) of BIT;
+ -- access types.
+ type ADDRESS is access MEMORY;
+
+ variable ADDRESSV: ADDRESS;
+ variable k : integer;
+ BEGIN
+ k := ADDRESSV mod NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02248 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02248arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2249.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2249.vhd
new file mode 100644
index 000000000..15dc87a67
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2249.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2249.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02249ent IS
+END c07s02b06x00p01n01i02249ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02249arch OF c07s02b06x00p01n01i02249ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+
+ type WORDPTR is access WORD;
+
+ variable WORDPTRV,
+ WORDPTR2V: WORDPTR;
+ variable k : integer;
+ BEGIN
+ k := WORDPTRV mod WORDPTR2V;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02249 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02249arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc225.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc225.vhd
new file mode 100644
index 000000000..e273f3991
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc225.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc225.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00225ent IS
+END c03s01b01x00p07n01i00225ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00225arch OF c03s01b01x00p07n01i00225ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for X in GG to GG loop
+ k := 5;
+ end loop;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00225"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00225 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00225arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2250.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2250.vhd
new file mode 100644
index 000000000..e636b511c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2250.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2250.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02250ent IS
+END c07s02b06x00p01n01i02250ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02250arch OF c07s02b06x00p01n01i02250ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- array types.
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+
+ variable ADDRESSV: ADDRESS;
+ variable k : integer;
+ BEGIN
+ k := ADDRESSV rem NULL;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02250 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02250arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2251.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2251.vhd
new file mode 100644
index 000000000..77de060e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2251.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2251.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02251ent IS
+END c07s02b06x00p01n01i02251ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02251arch OF c07s02b06x00p01n01i02251ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- array types.
+ type WORD is array(0 to 31) of BIT;
+
+ -- access types.
+ type WORDPTR is access WORD;
+
+ variable WORDPTRV,
+ WORDPTR2V: WORDPTR;
+ variable k : integer;
+ BEGIN
+ k := WORDPTRV rem WORDPTR2V;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02251 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02251arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2252.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2252.vhd
new file mode 100644
index 000000000..c14d91ed4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2252.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2252.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02252ent IS
+END c07s02b06x00p01n01i02252ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02252arch OF c07s02b06x00p01n01i02252ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- file types.
+ type FileType is file of BIT;
+
+ -- Local declarations.
+ file FILEV : FileType is "input_file";
+ variable k : integer;
+ BEGIN
+ k := FILEV mod FILEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02252 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02252arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2253.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2253.vhd
new file mode 100644
index 000000000..2d8ac7ad8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2253.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2253.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02253ent IS
+END c07s02b06x00p01n01i02253ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02253arch OF c07s02b06x00p01n01i02253ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- file types.
+ type FileType is file of BIT;
+
+ -- Local declarations.
+ file FILEV : FileType is "input_file";
+ variable k : integer;
+ BEGIN
+ k := FILEV rem FILEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02253 - Operators mod and rem are predefined for any integer type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02253arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2254.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2254.vhd
new file mode 100644
index 000000000..9c5b7643b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2254.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2254.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02254ent IS
+END c07s02b06x00p01n01i02254ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02254arch OF c07s02b06x00p01n01i02254ent IS
+BEGIN
+ TESTING: PROCESS
+ variable I : INTEGER;
+ BEGIN
+ I := 1 / 0; -- should yield divide-by-zero error
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02254 - Integer can not divided by zero."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02254arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2255.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2255.vhd
new file mode 100644
index 000000000..597ed5325
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2255.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2255.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02255ent IS
+END c07s02b06x00p01n01i02255ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02255arch OF c07s02b06x00p01n01i02255ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable R : REAL;
+ BEGIN
+ R := 1.0 / 0.0; -- should yield divide-by-zero error
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02255 - Floating point can not divided by zero."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02255arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2256.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2256.vhd
new file mode 100644
index 000000000..b50ca4bda
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2256.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2256.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p01n01i02256ent IS
+END c07s02b06x00p01n01i02256ent;
+
+ARCHITECTURE c07s02b06x00p01n01i02256arch OF c07s02b06x00p01n01i02256ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable I : INTEGER;
+ BEGIN
+ I := 1 mod 0; -- should yield divide-by-zero error
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p01n01i02256 - Divide by zero is an error."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p01n01i02256arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc226.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc226.vhd
new file mode 100644
index 000000000..ffd0824b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc226.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc226.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00226ent IS
+END c03s01b01x00p07n01i00226ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00226arch OF c03s01b01x00p07n01i00226ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for X in '0' to '1' loop
+ k := 5;
+ end loop;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00226"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00226 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00226arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc227.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc227.vhd
new file mode 100644
index 000000000..ca046739e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc227.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc227.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b01x00p07n01i00227ent IS
+END c03s01b01x00p07n01i00227ent;
+
+ARCHITECTURE c03s01b01x00p07n01i00227arch OF c03s01b01x00p07n01i00227ent IS
+ type ENUM1 is (FF, GG);
+ type ENUM2 is (GG, HH);
+ type ENUM3 is (FALSE);
+ type ENUM4 is ('A', 'Z');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ for X in FALSE to FALSE loop
+ k := 5;
+ end loop;
+ assert NOT( k=5 )
+ report "***PASSED TEST: c03s01b01x00p07n01i00227"
+ severity NOTE;
+ assert ( k=5 )
+ report "***FAILED TEST: c03s01b01x00p07n01i00227 - Literal cannot be determined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b01x00p07n01i00227arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2273.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2273.vhd
new file mode 100644
index 000000000..ad209e11a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2273.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2273.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02273ent IS
+END c07s02b06x00p14n01i02273ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02273arch OF c07s02b06x00p14n01i02273ent IS
+BEGIN
+ TESTING: PROCESS
+ variable T : TIME := 1 sec;
+ BEGIN
+ T := T * 10 sec; -- Failure_here
+ -- SEMANTIC ERROR: if one operand is physical, then the other must
+ -- an integer or floating point type.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02273 - If one operand is of type physical, the other has to be of type integer or real."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02273arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2274.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2274.vhd
new file mode 100644
index 000000000..f7eaf7670
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2274.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2274.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02274ent IS
+END c07s02b06x00p14n01i02274ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02274arch OF c07s02b06x00p14n01i02274ent IS
+BEGIN
+ TESTING: PROCESS
+ type SINGLE_NUMERIC_ARRAY is array ( 1 to 1 ) of REAL;
+ function F ( A : SINGLE_NUMERIC_ARRAY ) return SINGLE_NUMERIC_ARRAY is
+ variable B : TIME := 1 sec;
+ begin
+ return A * B; -- Failure_here
+ -- SEMANTIC ERROR: if one operand is physical, then the other
+ -- must be integer or floating point.
+ end F;
+ variable A : SINGLE_NuMERIC_ARRAY;
+ variable T : TIME := 1 sec;
+ BEGIN
+ T := 1 MS * F(A); -- Failure_here
+ -- SEMANTIC ERROR: if one operand is physical, then the other must
+ -- an integer or floating point type.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02274 - If one operand is of type physical, the other has to be of type integer or real."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02274arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2275.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2275.vhd
new file mode 100644
index 000000000..1d866b48d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2275.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2275.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02275ent IS
+END c07s02b06x00p14n01i02275ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02275arch OF c07s02b06x00p14n01i02275ent IS
+BEGIN
+ TESTING: PROCESS
+ type ENUMERATION_TYPE is (ONE,TWO,THREE,FOUR);
+ variable T : TIME := 1 sec;
+ BEGIN
+ T := ONE * 1 MIN; -- Failure_here
+ -- SEMANTIC ERROR: if one operand is physical, then the other must
+ -- an integer or floating point type.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02275 - If one operand is of type physical, the other has to be of type integer or real."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02275arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2276.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2276.vhd
new file mode 100644
index 000000000..5359420cc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2276.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2276.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02276ent IS
+END c07s02b06x00p14n01i02276ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02276arch OF c07s02b06x00p14n01i02276ent IS
+BEGIN
+ TESTING: PROCESS
+ type DISTANCE is range 1 to 118
+ units
+ FURLONG;
+ end units;
+ variable D : DISTANCE;
+ variable T : TIME := 1 sec;
+ BEGIN
+ D := T * 1 FURLONG; -- Failure_here
+ -- SEMANTIC ERROR: if one operand is physical, then the other must
+ -- an integer or floating point type.
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02276 - If one operand is of type physical, the other has to be of type integer or real."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02276arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2277.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2277.vhd
new file mode 100644
index 000000000..e26db808a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2277.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2277.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02277ent IS
+END c07s02b06x00p14n01i02277ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02277arch OF c07s02b06x00p14n01i02277ent IS
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable INTV : INTEGER;
+ variable DISTV : DISTANCE;
+ BEGIN
+ INTV := INTV / DISTV; -- ERROR:
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02277 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02277arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2278.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2278.vhd
new file mode 100644
index 000000000..d1746c862
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2278.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2278.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02278ent IS
+END c07s02b06x00p14n01i02278ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02278arch OF c07s02b06x00p14n01i02278ent IS
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable INTV : INTEGER;
+ variable TIMEV : TIME;
+ BEGIN
+ INTV := INTV / TIMEV; -- ERROR:
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02278 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02278arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2279.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2279.vhd
new file mode 100644
index 000000000..69df49801
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2279.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2279.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02279ent IS
+END c07s02b06x00p14n01i02279ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02279arch OF c07s02b06x00p14n01i02279ent IS
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable REALV : REAL;
+ variable TIMEV : TIME;
+ BEGIN
+ REALV := REALV / TIMEV; -- ERROR:
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02279 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02279arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2280.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2280.vhd
new file mode 100644
index 000000000..7a8db53c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2280.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2280.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02280ent IS
+END c07s02b06x00p14n01i02280ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02280arch OF c07s02b06x00p14n01i02280ent IS
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable REALV : REAL;
+ variable DISTV : DISTANCE;
+ BEGIN
+ REALV := REALV / DISTV; -- ERROR:
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02280 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02280arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2281.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2281.vhd
new file mode 100644
index 000000000..77af878e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2281.vhd
@@ -0,0 +1,70 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2281.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02281ent IS
+END c07s02b06x00p14n01i02281ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02281arch OF c07s02b06x00p14n01i02281ent IS
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable INTV : INTEGER;
+ variable DISTV : DISTANCE;
+ variable TIMEV : TIME;
+ BEGIN
+ -- Test multiplying two different physical types.
+ INTV := DISTV * TIMEV; -- ERROR
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02281 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02281arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2282.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2282.vhd
new file mode 100644
index 000000000..e17fd398d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2282.vhd
@@ -0,0 +1,70 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2282.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b06x00p14n01i02282ent IS
+END c07s02b06x00p14n01i02282ent;
+
+ARCHITECTURE c07s02b06x00p14n01i02282arch OF c07s02b06x00p14n01i02282ent IS
+BEGIN
+ TESTING: PROCESS
+ -- user defined physical types.
+ type DISTANCE is range 0 to 1E9
+ units
+ -- Base units.
+ A; -- angstrom
+
+ -- Metric lengths.
+ nm = 10 A; -- nanometer
+ um = 1000 nm; -- micrometer (or micron)
+ mm = 1000 um; -- millimeter
+ cm = 10 mm; -- centimeter
+-- m = 100 cm; -- meter
+
+ -- English lengths.
+ mil = 254000 A; -- mil
+ inch = 1000 mil; -- inch
+-- ft = 12 inch; -- foot
+-- yd = 3 ft; -- yard
+ end units;
+
+ -- Local declarations.
+ variable INTV : INTEGER;
+ variable DISTV : DISTANCE;
+ variable TIMEV : TIME;
+ BEGIN
+ -- Try dividing them.
+ INTV := DISTV / TIMEV; -- ERROR
+ assert FALSE
+ report "***FAILED TEST: c07s02b06x00p14n01i02282 - Incompatible operands: May not be multiplied or divided."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b06x00p14n01i02282arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2306.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2306.vhd
new file mode 100644
index 000000000..1ad172e66
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2306.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2306.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02306ent IS
+END c07s02b07x00p01n01i02306ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02306arch OF c07s02b07x00p01n01i02306ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_one is array (1 to 10) of boolean;
+ variable x : array_one;
+ variable z : integer;
+ BEGIN
+ z := abs(x); -- Failure_here
+ -- abs is not defined for array types.
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02306 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02306arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc231.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc231.vhd
new file mode 100644
index 000000000..67aa76953
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc231.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc231.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p02n01i00231ent IS
+END c03s01b02x00p02n01i00231ent;
+
+ARCHITECTURE c03s01b02x00p02n01i00231arch OF c03s01b02x00p02n01i00231ent IS
+ type a is range (1+1) to (10.0 + 20.0);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p02n01i00231 - The right bound in the range constraint is not a locally static expression of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p02n01i00231arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2310.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2310.vhd
new file mode 100644
index 000000000..ac8f2f69f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2310.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2310.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02310ent IS
+END c07s02b07x00p01n01i02310ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02310arch OF c07s02b07x00p01n01i02310ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ -- Local declarations.
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ BEGIN
+ SWITCHV := ABS SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02310 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02310arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2311.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2311.vhd
new file mode 100644
index 000000000..76a66d603
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2311.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2311.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02311ent IS
+END c07s02b07x00p01n01i02311ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02311arch OF c07s02b07x00p01n01i02311ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- enumerated types.
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ -- Local declarations.
+ variable LOGICV : LOGIC_SWITCH := '0';
+ BEGIN
+ LOGICV := ABS LOGICV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02311 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02311arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2312.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2312.vhd
new file mode 100644
index 000000000..2c47b40ef
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2312.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2312.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02312ent IS
+END c07s02b07x00p01n01i02312ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02312arch OF c07s02b07x00p01n01i02312ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable CHARV : CHARACTER := '0';
+ BEGIN
+ CHARV := ABS CHARV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02312 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02312arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2313.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2313.vhd
new file mode 100644
index 000000000..ee97e81f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2313.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2313.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02313ent IS
+END c07s02b07x00p01n01i02313ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02313arch OF c07s02b07x00p01n01i02313ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- Local declarations.
+ variable BITV : BIT := '0';
+ BEGIN
+ BITV := ABS BITV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02313 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02313arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2314.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2314.vhd
new file mode 100644
index 000000000..912491c77
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2314.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2314.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02314ent IS
+END c07s02b07x00p01n01i02314ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02314arch OF c07s02b07x00p01n01i02314ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ BEGIN
+ SEVERV := ABS SEVERV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02314 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02314arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2315.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2315.vhd
new file mode 100644
index 000000000..ed284a46d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2315.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2315.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02315ent IS
+END c07s02b07x00p01n01i02315ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02315arch OF c07s02b07x00p01n01i02315ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ variable MEMORYV : MEMORY( 0 to 31 );
+ BEGIN
+ MEMORYV := ABS MEMORYV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02315 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02315arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2316.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2316.vhd
new file mode 100644
index 000000000..a477419b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2316.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2316.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02316ent IS
+END c07s02b07x00p01n01i02316ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02316arch OF c07s02b07x00p01n01i02316ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ variable WORDV : WORD;
+ BEGIN
+ WORDV := ABS WORDV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02316 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02316arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2317.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2317.vhd
new file mode 100644
index 000000000..78f357996
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2317.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2317.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02317ent IS
+END c07s02b07x00p01n01i02317ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02317arch OF c07s02b07x00p01n01i02317ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE is array(7 downto 0) of BIT;
+ variable BYTEV : BYTE;
+ BEGIN
+ BYTEV := ABS BYTEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02317 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02317arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2318.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2318.vhd
new file mode 100644
index 000000000..7e067fb42
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2318.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2318.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02318ent IS
+END c07s02b07x00p01n01i02318ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02318arch OF c07s02b07x00p01n01i02318ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 9 );
+ BEGIN
+ STRINGV := ABS STRINGV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02318 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02318arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2319.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2319.vhd
new file mode 100644
index 000000000..4aa2db233
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2319.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2319.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02319ent IS
+END c07s02b07x00p01n01i02319ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02319arch OF c07s02b07x00p01n01i02319ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITSTRV : BIT_VECTOR( 0 to 7 );
+ BEGIN
+ BITSTRV := ABS BITSTRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02319 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02319arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2320.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2320.vhd
new file mode 100644
index 000000000..83582b1c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2320.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2320.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02320ent IS
+END c07s02b07x00p01n01i02320ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02320arch OF c07s02b07x00p01n01i02320ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+ variable RECV : DATE;
+ BEGIN
+ RECV := ABS RECV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02320 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02320arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2321.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2321.vhd
new file mode 100644
index 000000000..11ff78cfe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2321.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2321.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02321ent IS
+END c07s02b07x00p01n01i02321ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02321arch OF c07s02b07x00p01n01i02321ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+ variable ADDRESSV : ADDRESS;
+ BEGIN
+ ADDRESSV := ABS ADDRESSV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02321 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02321arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2322.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2322.vhd
new file mode 100644
index 000000000..0a559bba6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2322.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2322.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02322ent IS
+END c07s02b07x00p01n01i02322ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02322arch OF c07s02b07x00p01n01i02322ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ type WORDPTR is access WORD;
+ variable WORDPTRV : WORDPTR;
+ BEGIN
+ WORDPTRV := ABS WORDPTRV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02322 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02322arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2323.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2323.vhd
new file mode 100644
index 000000000..7e90b4106
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2323.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2323.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p01n01i02323ent IS
+END c07s02b07x00p01n01i02323ent;
+
+ARCHITECTURE c07s02b07x00p01n01i02323arch OF c07s02b07x00p01n01i02323ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- file types.
+ type FT is file of BIT;
+ file FILEV : FT is "input_file";
+ BEGIN
+ FILEV := ABS FILEV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p01n01i02323 - Unary operator abs is predefined for any numeric type only."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p01n01i02323arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2327.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2327.vhd
new file mode 100644
index 000000000..a5ce9811b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2327.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2327.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02327ent IS
+END c07s02b07x00p02n02i02327ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02327arch OF c07s02b07x00p02n02i02327ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant x : integer := 4;
+ constant y : boolean := true;
+ variable z : integer;
+ BEGIN
+ z := y**x; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02327 - The exponentiating operator is predefined only for integer and floating point types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02327arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2328.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2328.vhd
new file mode 100644
index 000000000..efe6e70b6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2328.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2328.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02328ent IS
+END c07s02b07x00p02n02i02328ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02328arch OF c07s02b07x00p02n02i02328ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant x : real := 4.5;
+ constant y : integer := 5;
+ variable z : integer;
+ BEGIN
+ z := y**x; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02328 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02328arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2333.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2333.vhd
new file mode 100644
index 000000000..c917b2fd5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2333.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2333.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02333ent IS
+END c07s02b07x00p02n02i02333ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02333arch OF c07s02b07x00p02n02i02333ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := SWITCHV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02333 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02333arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2334.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2334.vhd
new file mode 100644
index 000000000..e66bd631e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2334.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2334.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02334ent IS
+END c07s02b07x00p02n02i02334ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02334arch OF c07s02b07x00p02n02i02334ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable INTV : LOGIC_SWITCH := '0';
+ BEGIN
+ INTV := LOGICV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02334 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02334arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2335.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2335.vhd
new file mode 100644
index 000000000..b47926374
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2335.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2335.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02335ent IS
+END c07s02b07x00p02n02i02335ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02335arch OF c07s02b07x00p02n02i02335ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ variable INTV : CHARACTER := '0';
+ BEGIN
+ INTV := CHARV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02335 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02335arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2336.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2336.vhd
new file mode 100644
index 000000000..a36141512
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2336.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2336.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02336ent IS
+END c07s02b07x00p02n02i02336ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02336arch OF c07s02b07x00p02n02i02336ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := BITV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02336 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02336arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2337.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2337.vhd
new file mode 100644
index 000000000..ee92e3a76
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2337.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2337.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02337ent IS
+END c07s02b07x00p02n02i02337ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02337arch OF c07s02b07x00p02n02i02337ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := SEVERV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02337 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02337arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2338.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2338.vhd
new file mode 100644
index 000000000..0826539ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2338.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2338.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02338ent IS
+END c07s02b07x00p02n02i02338ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02338arch OF c07s02b07x00p02n02i02338ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ variable MEMORYV : MEMORY( 0 to 31 );
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := MEMORYV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02338 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02338arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2339.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2339.vhd
new file mode 100644
index 000000000..1da569f8b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2339.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2339.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02339ent IS
+END c07s02b07x00p02n02i02339ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02339arch OF c07s02b07x00p02n02i02339ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ variable WORDV : WORD;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := WORDV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02339 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02339arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2340.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2340.vhd
new file mode 100644
index 000000000..2329bf9ac
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2340.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2340.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02340ent IS
+END c07s02b07x00p02n02i02340ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02340arch OF c07s02b07x00p02n02i02340ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE is array(7 downto 0) of BIT;
+ variable BYTEV : BYTE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := BYTEV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02340 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02340arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2341.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2341.vhd
new file mode 100644
index 000000000..7e8df6bc0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2341.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2341.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02341ent IS
+END c07s02b07x00p02n02i02341ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02341arch OF c07s02b07x00p02n02i02341ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := STRINGV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02341 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02341arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2342.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2342.vhd
new file mode 100644
index 000000000..e5e7a3da9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2342.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2342.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02342ent IS
+END c07s02b07x00p02n02i02342ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02342arch OF c07s02b07x00p02n02i02342ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- record types.
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+ variable RECV : DATE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := RECV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02342 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02342arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2343.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2343.vhd
new file mode 100644
index 000000000..d58d7154a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2343.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2343.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02343ent IS
+END c07s02b07x00p02n02i02343ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02343arch OF c07s02b07x00p02n02i02343ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+ variable ADDRESSV : ADDRESS;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := ADDRESSV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02343 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02343arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2344.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2344.vhd
new file mode 100644
index 000000000..99f725731
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2344.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2344.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02344ent IS
+END c07s02b07x00p02n02i02344ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02344arch OF c07s02b07x00p02n02i02344ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ type WORDPTR is access WORD;
+ variable WORDPTRV : WORDPTR;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := WORDPTRV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02344 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02344arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2345.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2345.vhd
new file mode 100644
index 000000000..016e0e039
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2345.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2345.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02345ent IS
+END c07s02b07x00p02n02i02345ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02345arch OF c07s02b07x00p02n02i02345ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- file types.
+ type FT is file of BIT;
+ file FILEV : FT is "input_file";
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := FILEV ** 2;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02345 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02345arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2346.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2346.vhd
new file mode 100644
index 000000000..df4ff84f2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2346.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2346.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02346ent IS
+END c07s02b07x00p02n02i02346ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02346arch OF c07s02b07x00p02n02i02346ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ variable SWITCHV : SWITCH_LEVEL := '0';
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** SWITCHV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02346 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02346arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2347.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2347.vhd
new file mode 100644
index 000000000..15315fd21
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2347.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2347.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02347ent IS
+END c07s02b07x00p02n02i02347ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02347arch OF c07s02b07x00p02n02i02347ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SWITCH_LEVEL is ('0', '1', 'X');
+ subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
+ variable LOGICV : LOGIC_SWITCH := '0';
+ variable INTV : integer;
+ BEGIN
+ INTV := 2 ** LOGICV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02347 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02347arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2348.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2348.vhd
new file mode 100644
index 000000000..bb55eec71
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2348.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2348.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02348ent IS
+END c07s02b07x00p02n02i02348ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02348arch OF c07s02b07x00p02n02i02348ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable CHARV : CHARACTER := '0';
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 * CHARV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02348 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02348arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2349.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2349.vhd
new file mode 100644
index 000000000..e2299f35a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2349.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2349.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02349ent IS
+END c07s02b07x00p02n02i02349ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02349arch OF c07s02b07x00p02n02i02349ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable BITV : BIT := '0';
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** BITV;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02349 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02349arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc235.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc235.vhd
new file mode 100644
index 000000000..749358e72
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc235.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc235.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00235ent IS
+ port ( p1 : inout integer;
+ p2 : inout integer);
+END c03s01b02x00p04n01i00235ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00235arch OF c03s01b02x00p04n01i00235ent IS
+ type t3 is range p1 to p2;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00235 -The range constraints in the type definition of 't3' must be locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00235arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2350.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2350.vhd
new file mode 100644
index 000000000..7bff53d7e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2350.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2350.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02350ent IS
+END c07s02b07x00p02n02i02350ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02350arch OF c07s02b07x00p02n02i02350ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable SEVERV : SEVERITY_LEVEL := NOTE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** SEVERV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02350 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02350arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2351.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2351.vhd
new file mode 100644
index 000000000..91e76b7fe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2351.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2351.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02351ent IS
+END c07s02b07x00p02n02i02351ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02351arch OF c07s02b07x00p02n02i02351ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ variable MEMORYV : MEMORY( 0 to 31 );
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** MEMORYV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02351 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02351arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2352.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2352.vhd
new file mode 100644
index 000000000..441457ed9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2352.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2352.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02352ent IS
+END c07s02b07x00p02n02i02352ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02352arch OF c07s02b07x00p02n02i02352ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ variable WORDV : WORD;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** WORDV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02352 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02352arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2353.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2353.vhd
new file mode 100644
index 000000000..da29ab0dc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2353.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2353.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02353ent IS
+END c07s02b07x00p02n02i02353ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02353arch OF c07s02b07x00p02n02i02353ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type BYTE is array(7 downto 0) of BIT;
+ variable BYTEV : BYTE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** BYTEV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02353 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02353arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2354.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2354.vhd
new file mode 100644
index 000000000..a28aa5a8e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2354.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2354.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02354ent IS
+END c07s02b07x00p02n02i02354ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02354arch OF c07s02b07x00p02n02i02354ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable STRINGV : STRING( 1 to 8 );
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** STRINGV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02354 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02354arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2355.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2355.vhd
new file mode 100644
index 000000000..bbdcbe1a9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2355.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2355.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02355ent IS
+END c07s02b07x00p02n02i02355ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02355arch OF c07s02b07x00p02n02i02355ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- record types.
+ type DATE is
+ record
+ DAY : INTEGER range 1 to 31;
+ MONTH : INTEGER range 1 to 12;
+ YEAR : INTEGER range -10000 to 1988;
+ end record;
+ variable RECV : DATE;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** RECV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02355 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02355arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2356.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2356.vhd
new file mode 100644
index 000000000..0dda0355a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2356.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2356.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02356ent IS
+END c07s02b07x00p02n02i02356ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02356arch OF c07s02b07x00p02n02i02356ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type MEMORY is array(INTEGER range <>) of BIT;
+ type ADDRESS is access MEMORY;
+ variable ADDRESSV : ADDRESS;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** ADDRESSV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02356 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02356arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2357.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2357.vhd
new file mode 100644
index 000000000..70c7ce500
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2357.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2357.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02357ent IS
+END c07s02b07x00p02n02i02357ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02357arch OF c07s02b07x00p02n02i02357ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type WORD is array(0 to 31) of BIT;
+ type WORDPTR is access WORD;
+ variable WORDPTRV : WORDPTR;
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** WORDPTRV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02357 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02357arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2358.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2358.vhd
new file mode 100644
index 000000000..d0d382f91
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2358.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2358.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p02n02i02358ent IS
+END c07s02b07x00p02n02i02358ent;
+
+ARCHITECTURE c07s02b07x00p02n02i02358arch OF c07s02b07x00p02n02i02358ent IS
+
+BEGIN
+ TESTING: PROCESS
+ -- file types.
+ type FT is file of BIT;
+ file FILEV : FT is "input_file";
+ variable INTV : INTEGER;
+ BEGIN
+ INTV := 2 ** FILEV ;
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p02n02i02358 - Exponent can only be of type Integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p02n02i02358arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc236.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc236.vhd
new file mode 100644
index 000000000..47165e687
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc236.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc236.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00236ent IS
+END c03s01b02x00p04n01i00236ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00236arch OF c03s01b02x00p04n01i00236ent IS
+ type t3 is range (1+1) to (10.0 + 2.0);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00236 - The right bound in the range constraint is not a locally static expression of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00236arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2361.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2361.vhd
new file mode 100644
index 000000000..afcc330a4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2361.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2361.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p10n02i02361ent IS
+END c07s02b07x00p10n02i02361ent;
+
+ARCHITECTURE c07s02b07x00p10n02i02361arch OF c07s02b07x00p10n02i02361ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type NEW_INTEGER is range INTEGER'LOW to INTEGER'HIGH;
+ variable A : integer := 5;
+ variable k : NEW_INTEGER := 0;
+ BEGIN
+ k := A ** (-2); --Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p10n02i02361 - Left operand must be floating point type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p10n02i02361arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2362.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2362.vhd
new file mode 100644
index 000000000..ce8007129
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2362.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2362.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s02b07x00p10n02i02362ent IS
+END c07s02b07x00p10n02i02362ent;
+
+ARCHITECTURE c07s02b07x00p10n02i02362arch OF c07s02b07x00p10n02i02362ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type NEW_INTEGER is range INTEGER'LOW to INTEGER'HIGH;
+ variable k : NEW_INTEGER := 10 ** (-2);
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s02b07x00p10n02i02362 - Left operand must be floating point type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s02b07x00p10n02i02362arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2375.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2375.vhd
new file mode 100644
index 000000000..79a07e789
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2375.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2375.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p02n01i02375ent IS
+END c07s03b02x00p02n01i02375ent;
+
+ARCHITECTURE c07s03b02x00p02n01i02375arch OF c07s03b02x00p02n01i02375ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type x1 is array (1 to 2) of integer;
+ constant v1 : x1 := (0 0); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p02n01i02375 - A comma(,) is missing between the elements of the aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p02n01i02375arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2376.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2376.vhd
new file mode 100644
index 000000000..cbdaf69b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2376.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2376.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p02n01i02376ent IS
+END c07s03b02x00p02n01i02376ent;
+
+ARCHITECTURE c07s03b02x00p02n01i02376arch OF c07s03b02x00p02n01i02376ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type x1 is array (1 to 2) of integer;
+ constant v1: x1 := 0, 0; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p02n01i02376 - Parentheses enclosing the elements of the aggregate are missing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p02n01i02376arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2377.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2377.vhd
new file mode 100644
index 000000000..73c545a13
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2377.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2377.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p02n01i02377ent IS
+END c07s03b02x00p02n01i02377ent;
+
+ARCHITECTURE c07s03b02x00p02n01i02377arch OF c07s03b02x00p02n01i02377ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM is ( ONE, TWO, THREE, FOUR );
+ function F_ENUM ( A : ENUM := ONE;
+ B : ENUM := TWO ) return ENUM is
+ begin
+ return A;
+ end F_ENUM;
+ variable V : ENUM := F_ENUM(,); -- Failure_here
+ -- SYNTAX ERROR: null parameter association not legal.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p02n01i02377 - Null association element is not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p02n01i02377arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2379.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2379.vhd
new file mode 100644
index 000000000..2ce94acd0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2379.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2379.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p03n01i02379ent IS
+END c07s03b02x00p03n01i02379ent;
+
+ARCHITECTURE c07s03b02x00p03n01i02379arch OF c07s03b02x00p03n01i02379ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T1 is array (1 to 5) of integer;
+ constant C1 : T1 := (1 => 0, 2 => 2,others 4) ; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p03n01i02379 - Missing operator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p03n01i02379arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2381.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2381.vhd
new file mode 100644
index 000000000..cfff5d6c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2381.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2381.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p04n01i02381ent IS
+END c07s03b02x00p04n01i02381ent;
+
+ARCHITECTURE c07s03b02x00p04n01i02381arch OF c07s03b02x00p04n01i02381ent IS
+ type T1 is array (1 to 5) of integer;
+ constant C1 : T1 := (1 2 => 0, others => 4) ; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p04n01i02381 - Missing vertical bar."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p04n01i02381arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2385.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2385.vhd
new file mode 100644
index 000000000..676653d99
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2385.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2385.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p07n01i02385ent IS
+END c07s03b02x00p07n01i02385ent;
+
+ARCHITECTURE c07s03b02x00p07n01i02385arch OF c07s03b02x00p07n01i02385ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_type is array (1 to 10) of integer;
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ ele_3 : boolean;
+ ele_4 : array_type;
+ end record;
+
+ type array_three is array (1 to 6) of integer;
+ variable x : rec :=
+ (ele_1 => 1, ele_2 => 2.3, true,
+ (1,2,3,4,5,6,7,8,9,0)); -- Failure_here
+ -- positional associations should occur before named associations.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p07n01i02385 - Positional associations should appear before named associations in the same aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p07n01i02385arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2386.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2386.vhd
new file mode 100644
index 000000000..af5dca4d2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2386.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2386.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p07n01i02386ent IS
+END c07s03b02x00p07n01i02386ent;
+
+ARCHITECTURE c07s03b02x00p07n01i02386arch OF c07s03b02x00p07n01i02386ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_three is array (1 to 6) of integer;
+ variable x : array_three := (1 =>10, 3 => 30,
+ others => 20, 2 => 25); -- Failure_here
+ -- no association can
+ -- follow an others association.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p07n01i02386 - No association can follow an others association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p07n01i02386arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2393.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2393.vhd
new file mode 100644
index 000000000..fee9c3ef7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2393.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2393.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p07n02i02393ent IS
+END c07s03b02x00p07n02i02393ent;
+
+ARCHITECTURE c07s03b02x00p07n02i02393arch OF c07s03b02x00p07n02i02393ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type t25 is record
+ elem_1: integer;
+ end record;
+ variable v25 : t25;
+ BEGIN
+ v25 := (25); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p07n02i02393 - Aggregate specification should be using named association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p07n02i02393arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2397.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2397.vhd
new file mode 100644
index 000000000..f04171678
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2397.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2397.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p07n01i02397ent IS
+END c07s03b02x00p07n01i02397ent;
+
+ARCHITECTURE c07s03b02x00p07n01i02397arch OF c07s03b02x00p07n01i02397ent IS
+ signal err : bit_vector(0 to 1) := (1 => '0', '1');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p07n01i02397 - Positional element association must occur before all named element association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p07n01i02397arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2398.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2398.vhd
new file mode 100644
index 000000000..a3dba3fe2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2398.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2398.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n01i02398ent IS
+END c07s03b02x00p08n01i02398ent;
+
+ARCHITECTURE c07s03b02x00p08n01i02398arch OF c07s03b02x00p08n01i02398ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ end record;
+ type t22 is array (1 to 10) of integer;
+ variable v22 : t22;
+ BEGIN
+ v22 := (ele_1 => 22, others => 0); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n01i02398 - Element associations by an element simple name is allowed only in recordi aggregates."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n01i02398arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc240.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc240.vhd
new file mode 100644
index 000000000..f3fb57a87
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc240.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc240.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00240ent IS
+END c03s01b02x00p04n01i00240ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00240arch OF c03s01b02x00p04n01i00240ent IS
+ type a is range (1+1) to 10;
+ type b is range (10+1) to 100;
+ type c is range a to b;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00240 - The bounds in the range constraint are not locally static expressions of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00240arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2402.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2402.vhd
new file mode 100644
index 000000000..3948ddb2d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2402.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2402.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n02i02402ent IS
+END c07s03b02x00p08n02i02402ent;
+
+ARCHITECTURE c07s03b02x00p08n02i02402arch OF c07s03b02x00p08n02i02402ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec_type is record
+ ele_1 : integer;
+ ele_2 : integer;
+ end record;
+ variable v20 : rec_type;
+ BEGIN
+ v20 := (1 + 1 => 20, ele_2 => 0); -- Failure_here
+ -- simple expression
+ -- associations allowed only in array agregates.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n02i02402 - Element associations by simple expressions allowed only in array aggregates."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n02i02402arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2405.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2405.vhd
new file mode 100644
index 000000000..d06a2bddb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2405.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2405.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n05i02405ent IS
+END c07s03b02x00p08n05i02405ent;
+
+ARCHITECTURE c07s03b02x00p08n05i02405arch OF c07s03b02x00p08n05i02405ent IS
+ type ARRAY_TYPE is array (INTEGER range <>) of BOOLEAN;
+ type RECORD_TYPE is record
+ E1,E2,E3 : BOOLEAN;
+ end record;
+ signal S2 : RECORD_TYPE;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S2 <= (E2 => TRUE, others | E1 => FALSE); -- Failure_here
+ -- SEMANTIC ERROR: "others" must be only choice in an association.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n05i02405 - Only one others association is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n05i02405arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2406.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2406.vhd
new file mode 100644
index 000000000..712701e18
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2406.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2406.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n05i02406ent IS
+END c07s03b02x00p08n05i02406ent;
+
+ARCHITECTURE c07s03b02x00p08n05i02406arch OF c07s03b02x00p08n05i02406ent IS
+ type ARRAY_TYPE is array (INTEGER range <>) of BOOLEAN;
+ signal S1 : ARRAY_TYPE(1 to 2) ;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 <= (others=>TRUE,TRUE); -- Failure_here
+ -- SEMANTIC ERROR: association cannot follow "others" association.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n05i02406 - Nothing may follow an others association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n05i02406arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2407.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2407.vhd
new file mode 100644
index 000000000..697d326ee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2407.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2407.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n05i02407ent IS
+END c07s03b02x00p08n05i02407ent;
+
+ARCHITECTURE c07s03b02x00p08n05i02407arch OF c07s03b02x00p08n05i02407ent IS
+ type ARRAY_TYPE is array (INTEGER range <>) of BOOLEAN;
+ type RECORD_TYPE is record
+ E1,E2 : BOOLEAN;
+ end record;
+ signal S2 : RECORD_TYPE;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S2 <= (others=>TRUE,others=>FALSE); -- Failure_here
+ -- SEMANTIC ERROR: more than one "others" association.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n05i02407 - Only one others association is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n05i02407arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2409.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2409.vhd
new file mode 100644
index 000000000..fd0bc2327
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2409.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2409.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p08n05i02409ent IS
+END c07s03b02x00p08n05i02409ent;
+
+ARCHITECTURE c07s03b02x00p08n05i02409arch OF c07s03b02x00p08n05i02409ent IS
+ signal err : bit_vector(0 to 2);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ err <= (1 => '1', others => '0', others => '1');
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p08n05i02409 - Only one others association is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p08n05i02409arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2410.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2410.vhd
new file mode 100644
index 000000000..a8044f938
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2410.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2410.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p09n01i02410ent IS
+END c07s03b02x00p09n01i02410ent;
+
+ARCHITECTURE c07s03b02x00p09n01i02410arch OF c07s03b02x00p09n01i02410ent IS
+ type array_three is array (1 to 6) of integer;
+ constant x : array_three := (1, 2, 3, 4, 5, 6);
+ constant y : array_three := (1 => 1, 2 => 2, 2 => 3,others => 0);
+ -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p09n01i02410 - An element of the value defined by an aggregate can be represented only once in an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p09n01i02410arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2411.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2411.vhd
new file mode 100644
index 000000000..e082d8c84
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2411.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2411.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p09n01i02411ent IS
+END c07s03b02x00p09n01i02411ent;
+
+ARCHITECTURE c07s03b02x00p09n01i02411arch OF c07s03b02x00p09n01i02411ent IS
+ type BIT_VECTOR is array
+ (natural range <>, natural range <>) of BIT;
+BEGIN
+ TESTING: PROCESS
+ variable NUM1 : BIT_VECTOR(0 to 1, 0 to 7) := (
+ ('0', '0'), ('1', '1'),
+ ('0', '1'), ('1', '1'),
+ ('0', '1'), ('0', '1'),
+ ('0', '1'), ('1', '1'),
+ ('1', '0'), ('1', '0')
+ ); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p09n01i02411 - Each element of the value defined by an aggregate must be represented once and only once in the aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p09n01i02411arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2413.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2413.vhd
new file mode 100644
index 000000000..766836761
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2413.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2413.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p09n01i02413ent IS
+END c07s03b02x00p09n01i02413ent;
+
+ARCHITECTURE c07s03b02x00p09n01i02413arch OF c07s03b02x00p09n01i02413ent IS
+ signal err : bit_vector(0 to 1) := (0 => '1', 1 => '0', 1 => '1');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 2 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p09n01i02413 - Each element of aggregate must be represented once and only once in the aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p09n01i02413arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2414.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2414.vhd
new file mode 100644
index 000000000..16651769a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2414.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2414.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p10n01i02414ent IS
+END c07s03b02x00p10n01i02414ent;
+
+ARCHITECTURE c07s03b02x00p10n01i02414arch OF c07s03b02x00p10n01i02414ent IS
+ type s27 is array (1 to 4) of integer;
+BEGIN
+ TESTING: PROCESS
+ variable V1 : s27 := (1, 2, 3, 4);
+ BEGIN
+ (v1(1) , v1(2)) := (v1(3), v1(4)); -- Failure_here
+ -- type of aggregate not
+ -- determinable from context
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p10n01i02414 - Type of the aggregate must be determinable from the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p10n01i02414arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2416.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2416.vhd
new file mode 100644
index 000000000..161fa5fee
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2416.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2416.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x00p10n02i02416ent IS
+END c07s03b02x00p10n02i02416ent;
+
+ARCHITECTURE c07s03b02x00p10n02i02416arch OF c07s03b02x00p10n02i02416ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_2 : real;
+ ele_3 : boolean;
+ end record;
+ variable v24 : rec;
+ BEGIN
+ v24 := (ele_2 => 23, ele_3 => True); -- Failure_here
+ -- ele_2 is real.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p10n02i02416 - Elements of an aggregate should have the same type as that determined by the aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p10n02i02416arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2418.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2418.vhd
new file mode 100644
index 000000000..7ab3ce3f3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2418.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2418.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s03b02x00p10n01i02418pkg is
+ type byte is range 0 to 15;
+ type cmd_bus is array (0 to 3) of byte;
+end c07s03b02x00p10n01i02418pkg;
+
+use work.c07s03b02x00p10n01i02418pkg.all;
+ENTITY c07s03b02x00p10n01i02418ent IS
+ port ( signal b_inp : in boolean := (0 to 3 => 0) = (0 to 3 => 1));
+END c07s03b02x00p10n01i02418ent;
+
+ARCHITECTURE c07s03b02x00p10n01i02418arch OF c07s03b02x00p10n01i02418ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p10n01i02418 - The type of the aggregate is not determinable from the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p10n01i02418
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2419.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2419.vhd
new file mode 100644
index 000000000..5065c918e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2419.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2419.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s03b02x00p10n01i02419pkg is
+ type byte is range 0 to 15;
+ type cmd_bus is array (0 to 3) of byte;
+end c07s03b02x00p10n01i02419pkg;
+
+use work.c07s03b02x00p10n01i02419pkg.all;
+ENTITY c07s03b02x00p10n01i02419ent IS
+ port ( signal b_inp : in boolean := cmd_bus'(0 to 3 => 0) = (0 to 3 => 1));
+END c07s03b02x00p10n01i02419ent;
+
+ARCHITECTURE c07s03b02x00p10n01i02419arch OF c07s03b02x00p10n01i02419ent IS
+ signal b_sig : boolean := cmd_bus'(0 to 3 => 0) = (0 to 3 => 1);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ b_sig <= (0 to 3 => 0) = (0 to 3 => 1);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x00p10n01i02419 - The type of the aggregate is not determinable from the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x00p10n01i02419arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc242.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc242.vhd
new file mode 100644
index 000000000..ab6a6d588
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc242.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc242.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00242ent IS
+END c03s01b02x00p04n01i00242ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00242arch OF c03s01b02x00p04n01i00242ent IS
+ type a is range (1+1) to 10.0;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00242 - The right bound in the range constraint is not a locally static expression of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00242arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2420.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2420.vhd
new file mode 100644
index 000000000..58181ade5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2420.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2420.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n01i02420ent IS
+END c07s03b02x01p01n01i02420ent;
+
+ARCHITECTURE c07s03b02x01p01n01i02420arch OF c07s03b02x01p01n01i02420ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ ele_3 : boolean;
+ ele_4 : integer;
+ end record;
+ variable p : rec :=
+ (ele_3 => true,
+ ele_1 => 1,
+ ele_2 => 3.4,
+ ele_5 => 12); -- Failure_here
+ -- ele_5 does not belong to the record type.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n01i02420 - Element names must denote elments of the record type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n01i02420arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2422.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2422.vhd
new file mode 100644
index 000000000..345fbaa64
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2422.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2422.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n01i02422ent IS
+END c07s03b02x01p01n01i02422ent;
+
+ARCHITECTURE c07s03b02x01p01n01i02422arch OF c07s03b02x01p01n01i02422ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_RECORD is record
+ A : CHARACTER;
+ end record;
+ type B_RECORD is record
+ B : CHARACTER;
+ end record;
+ variable A : A_RECORD;
+ variable B : B_RECORD;
+ BEGIN
+ A := A_RECORD'(B=>'E'); -- Failure_here
+ -- SEMANTICS ERROR: choice does not denote record element
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n01i02422 - Given element name does not match the record type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n01i02422arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2423.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2423.vhd
new file mode 100644
index 000000000..777e98c28
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2423.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2423.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n01i02423ent IS
+END c07s03b02x01p01n01i02423ent;
+
+ARCHITECTURE c07s03b02x01p01n01i02423arch OF c07s03b02x01p01n01i02423ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_RECORD is record
+ A : CHARACTER;
+ end record;
+ type B_RECORD is record
+ B : CHARACTER;
+ end record;
+ variable A : A_RECORD;
+ variable B : B_RECORD;
+ BEGIN
+ B := (A=>'F'); -- Failure_here
+ -- SEMANTICS ERROR: choice does not denote record element
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n01i02423 - Given element name does not match the record type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n01i02423arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2424.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2424.vhd
new file mode 100644
index 000000000..dd6fde4d2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2424.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2424.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n02i02424ent IS
+END c07s03b02x01p01n02i02424ent;
+
+ARCHITECTURE c07s03b02x01p01n02i02424arch OF c07s03b02x01p01n02i02424ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_type is array (1 to 10) of integer;
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ ele_3 : boolean;
+ ele_4 : array_type;
+ end record;
+ variable p : rec := (3,1.0,true,(1,3,5,7,9,0,8,6,4,2),others => 3);
+ -- Failure_here
+ -- others should be used to
+ -- represent at least one element.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n02i02424 - The choice others in a record aggregate should represent at least one element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n02i02424arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2426.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2426.vhd
new file mode 100644
index 000000000..a43508aad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2426.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2426.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n03i02426ent IS
+END c07s03b02x01p01n03i02426ent;
+
+ARCHITECTURE c07s03b02x01p01n03i02426arch OF c07s03b02x01p01n03i02426ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ ele_3 : boolean;
+ end record;
+ variable p :rec := (ele_1 => 4, others => true); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n03i02426 - Element association with others choice should be used to represent elements of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n03i02426arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2427.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2427.vhd
new file mode 100644
index 000000000..2ae30e2ca
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2427.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2427.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n03i02427ent IS
+END c07s03b02x01p01n03i02427ent;
+
+ARCHITECTURE c07s03b02x01p01n03i02427arch OF c07s03b02x01p01n03i02427ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_1 : integer;
+ ele_2 : real;
+ ele_3 : boolean;
+ end record;
+ constant p :rec := (ele_1 | ele_2 | ele_3 => 4.5); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n03i02427 - Element association with others choice should be used to represent elements of the same type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n03i02427arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2429.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2429.vhd
new file mode 100644
index 000000000..54c315d86
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2429.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2429.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x01p01n04i02429ent IS
+END c07s03b02x01p01n04i02429ent;
+
+ARCHITECTURE c07s03b02x01p01n04i02429arch OF c07s03b02x01p01n04i02429ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec is record
+ ele_1 : integer;
+ ele_2 : integer;
+ ele_3 : boolean;
+ end record;
+ variable p : rec := (1,ele_2 => (3 < 5),ele_3 => true); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x01p01n04i02429 - Expression of an element association must have the same type as the associated record element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x01p01n04i02429arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc243.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc243.vhd
new file mode 100644
index 000000000..4db4faa8d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc243.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc243.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00243ent IS
+END c03s01b02x00p04n01i00243ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00243arch OF c03s01b02x00p04n01i00243ent IS
+ type CLSI is (Jasmine, Jim, Milan, Paul, Saurin);
+ constant x: CLSI := Jim;
+ constant y: CLSI := Paul;
+ type People is range x to y;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00243 - Type mis-match in integer range constraint for type 'People'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00243arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2431.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2431.vhd
new file mode 100644
index 000000000..00bfb51fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2431.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2431.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02431ent IS
+END c07s03b02x02p01n01i02431ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02431arch OF c07s03b02x02p01n01i02431ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_three is array (1 to 6) of integer;
+ variable x : array_three := (1=>2,2=>3,3=>4,4=>6.32,5=>6,6=>7); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02431 - Expression of each element association must be of the element type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02431arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2433.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2433.vhd
new file mode 100644
index 000000000..2a992de45
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2433.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2433.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02433ent IS
+END c07s03b02x02p01n01i02433ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02433arch OF c07s03b02x02p01n01i02433ent IS
+ subtype BV1 is BIT_VECTOR (2 downto 1);
+ constant C18 : BV1 := (3 => '1', others => '0');
+ -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02433 - Expression of each element association must be of the element type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02433arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2434.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2434.vhd
new file mode 100644
index 000000000..3b3b29459
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2434.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2434.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02434ent IS
+END c07s03b02x02p01n01i02434ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02434arch OF c07s03b02x02p01n01i02434ent IS
+BEGIN
+ TESTING: PROCESS
+ type BIT_VECTOR is array (natural range <>, positive range <>) of BIT;
+ variable NUM1 : BIT_VECTOR(0 to 1) := ( ('0', '0'), ('1', '1'),
+ ('0', '1'), ('1', '1'),
+ ('0', '1'), ('0', '1'),
+ ('1', '0'), ('1', '0') );
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02434 - The elements of the aggregate of the one-dimensional array type do not specify values of the index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02434arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2437.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2437.vhd
new file mode 100644
index 000000000..3368f08a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2437.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2437.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n02i02437ent IS
+END c07s03b02x02p01n02i02437ent;
+
+ARCHITECTURE c07s03b02x02p01n02i02437arch OF c07s03b02x02p01n02i02437ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array ( boolean range <>,integer range <>) of integer;
+ subtype A_CON is A_ARRAY (FALSE to TRUE, 1 to 2);
+ function F return A_CON is
+ begin
+ return ( others => 3 ); -- Failure_here
+ -- ERROR : Each element association must be an n-1 dimensional array aggregate
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n02i02437 - Each element association must be a n-1 dimensional array aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n02i02437arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2438.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2438.vhd
new file mode 100644
index 000000000..3fe0dc60a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2438.vhd
@@ -0,0 +1,67 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2438.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n02i02438ent IS
+END c07s03b02x02p01n02i02438ent;
+
+ARCHITECTURE c07s03b02x02p01n02i02438arch OF c07s03b02x02p01n02i02438ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM is ( ONE, TWO, THREE, FOUR, FIVE );
+ type A_ARRAY is array ( boolean range <>,integer range <>) of integer;
+ type B_ARRAY is array ( ENUM range <>, ENUM range <> ) of real;
+ subtype A_CON is A_ARRAY (FALSE to TRUE, 1 to 2);
+ function F return A_CON is
+ begin
+ return ( FALSE =>
+ ( 1 =>
+ B_ARRAY'( ONE =>
+ ( FIVE => 2.0),
+ TWO =>
+ (FIVE => 3.0)
+ ),
+ 2 =>
+ B_ARRAY'( ONE =>
+ ( FIVE => 2.0),
+ TWO =>
+ (FIVE => 3.0)
+ )
+ )
+ );
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n02i02438 - Each element association must be a n-1 dimensional array aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n02i02438arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc244.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc244.vhd
new file mode 100644
index 000000000..44278f833
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc244.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc244.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00244ent IS
+END c03s01b02x00p04n01i00244ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00244arch OF c03s01b02x00p04n01i00244ent IS
+ type CLSI is (Jasmine, Jim, Milan, Paul, Saurin);
+ constant x: CLSI := Jim;
+ constant y: CLSI := Paul;
+ type People is range CLSI'(Milan) to CLSI'(Saurin);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00244 - Type mis-match in integer range constraint for type 'People'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00244_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2441.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2441.vhd
new file mode 100644
index 000000000..58ea542e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2441.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2441.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02441ent IS
+ type a_index is range 0 to 15;
+ type a_bus is array (a_index range <>) of bit;
+END c07s03b02x02p01n01i02441ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02441arch OF c07s03b02x02p01n01i02441ent IS
+ signal a_sig : a_bus(a_index range 0 to 3) := (4 => '1', others => '0');
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02441 - Each choice must specify values of the index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02441arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2442.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2442.vhd
new file mode 100644
index 000000000..9b28e3271
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2442.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2442.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02442ent IS
+ type a_index is range 0 to 15;
+ type a_bus is array (a_index range <>) of bit;
+END c07s03b02x02p01n01i02442ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02442arch OF c07s03b02x02p01n01i02442ent IS
+ signal a_sig : a_bus(a_index range 0 to 3);
+BEGIN
+ TESTING: PROCESS
+ variable tmp : a_index := 0;
+ BEGIN
+ for i in a_index loop
+ tmp := i mod 4;
+ a_sig(tmp to tmp) <= 1;
+ if tmp >= 4 then
+ assert false
+ report "Choice index out of range."
+ severity note ;
+ exit;
+ end if;
+ end loop;
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02442 - Each choice must specify values of the index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02442arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2443.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2443.vhd
new file mode 100644
index 000000000..da99caa3a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2443.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2443.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02443ent IS
+ type idx is range 0 to 15;
+ type aray is array (idx) of positive;
+END c07s03b02x02p01n01i02443ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02443arch OF c07s03b02x02p01n01i02443ent IS
+ signal sig : aray := (others => 0);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02443 - The expression of each element association must be of the element type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02443arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2444.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2444.vhd
new file mode 100644
index 000000000..136e555b2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2444.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2444.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p01n01i02444ent IS
+ type idx is range 0 to 15;
+ type aray is array (idx) of positive;
+END c07s03b02x02p01n01i02444ent;
+
+ARCHITECTURE c07s03b02x02p01n01i02444arch OF c07s03b02x02p01n01i02444ent IS
+ signal sig : aray;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ sig <= (others => 0);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p01n01i02444 - An aggregate of a one-dimensional array type the expression of each element association must be of the element type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p01n01i02444arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2445.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2445.vhd
new file mode 100644
index 000000000..c79ab52c8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2445.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2445.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p02n01i02445ent IS
+END c07s03b02x02p02n01i02445ent;
+
+ARCHITECTURE c07s03b02x02p02n01i02445arch OF c07s03b02x02p02n01i02445ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type array_three is array (1 to 6) of integer;
+ variable x : array_three := ( 1,3,5, 5 => 10,
+ 6 => 12, 4 => 8); -- Failure_Here
+ -- all associations
+ -- must be either positional or named.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p02n01i02445 - All element associations of an array aggregate must be either all positional or all named."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p02n01i02445arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2447.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2447.vhd
new file mode 100644
index 000000000..bdd8814e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2447.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2447.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p02n02i02447ent IS
+END c07s03b02x02p02n02i02447ent;
+
+ARCHITECTURE c07s03b02x02p02n02i02447arch OF c07s03b02x02p02n02i02447ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type t16 is array (1 to 1) of integer;
+ variable v16 : t16;
+ BEGIN
+ v16 := (1 to 0 => 16, 1 => 12); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p02n02i02447 - Named association of an array aggregate can have a choice that is a null range only if the aggregate includes a single element association."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p02n02i02447arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2449.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2449.vhd
new file mode 100644
index 000000000..42107f802
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2449.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2449.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p02n02i02449ent IS
+END c07s03b02x02p02n02i02449ent;
+
+ARCHITECTURE c07s03b02x02p02n02i02449arch OF c07s03b02x02p02n02i02449ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type t17 is array (0 to 0) of integer;
+ variable v17 : t17;
+ BEGIN
+ v17 := (1 to 0 | p => 17); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p02n02i02449 - Named association of an array aggregate can have a choice that is a null range only if the single element association has a single choice."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p02n02i02449arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc245.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc245.vhd
new file mode 100644
index 000000000..3c38af847
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc245.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc245.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00245ent IS
+END c03s01b02x00p04n01i00245ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00245arch OF c03s01b02x00p04n01i00245ent IS
+ type I1 is range 1 to 9.0; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00245 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00245arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2450.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2450.vhd
new file mode 100644
index 000000000..4f58ff70e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2450.vhd
@@ -0,0 +1,74 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2450.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p03n02i02450ent IS
+END c07s03b02x02p03n02i02450ent;
+
+ARCHITECTURE c07s03b02x02p03n02i02450arch OF c07s03b02x02p03n02i02450ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM is ( ONE );
+
+ type A_ARRAY is array ( integer range <> ) of integer;
+ type B_ARRAY is array ( boolean range <> ) of real;
+ type C_ARRAY is array ( ENUM range <>, ENUM range <>) of bit;
+
+ subtype A_CON is A_ARRAY ( 1 to 4 );
+ subtype B_CON is B_ARRAY ( FALSE to TRUE );
+ subtype C_CON is C_ARRAY ( ONE to ONE, ONE to ONE );
+
+ function F_A ( PAR : A_ARRAY ) return A_CON is
+ begin return (1,2,3,4);
+ end F_A;
+
+ function F_B ( PAR : B_ARRAY ) return B_CON is
+ begin return (1.0, 2.0);
+ end F_B;
+
+ function F_C ( PAR : C_ARRAY ) return C_CON is
+ begin return (ONE=>(ONE=>'0'));
+ end F_C;
+
+ variable V_A : A_CON ;
+ variable V_B : B_CON ;
+ variable V_C : C_CON ;
+
+ BEGIN
+ V_A := F_A( F_A( (1,2,others=>3) ) ); -- Failure_here
+ -- SEMANTIC ERROR: "others" used in aggregate which corresponds to
+ -- an unconstrained formal parameter
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p03n02i02450 - Others is used in an aggregate which corresponds to an unconstrained formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p03n02i02450arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2451.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2451.vhd
new file mode 100644
index 000000000..e9942c9eb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2451.vhd
@@ -0,0 +1,74 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2451.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p03n02i02451ent IS
+END c07s03b02x02p03n02i02451ent;
+
+ARCHITECTURE c07s03b02x02p03n02i02451arch OF c07s03b02x02p03n02i02451ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM is ( ONE );
+
+ type A_ARRAY is array ( integer range <> ) of integer;
+ type B_ARRAY is array ( boolean range <> ) of real;
+ type C_ARRAY is array ( ENUM range <>, ENUM range <>) of bit;
+
+ subtype A_CON is A_ARRAY ( 1 to 4 );
+ subtype B_CON is B_ARRAY ( FALSE to TRUE );
+ subtype C_CON is C_ARRAY ( ONE to ONE, ONE to ONE );
+
+ function F_A ( PAR : A_ARRAY ) return A_CON is
+ begin return (1,2,3,4);
+ end F_A;
+
+ function F_B ( PAR : B_ARRAY ) return B_CON is
+ begin return (1.0, 2.0);
+ end F_B;
+
+ function F_C ( PAR : C_ARRAY ) return C_CON is
+ begin return (ONE=>(ONE=>'0'));
+ end F_C;
+
+ variable V_A : A_CON ;
+ variable V_B : B_CON ;
+ variable V_C : C_CON ;
+
+ BEGIN
+ V_B := F_B( F_B( (1.0,others=>2.0) ) ); -- Failure_here
+ -- SEMANTIC ERROR: "others" used in aggregate which corresponds to
+ -- an unconstrained formal parameter.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p03n02i02451 - Others is used in an aggregate which corresponds to an unconstrained formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p03n02i02451arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc246.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc246.vhd
new file mode 100644
index 000000000..d6c47b666
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc246.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc246.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00246ent IS
+END c03s01b02x00p04n01i00246ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00246arch OF c03s01b02x00p04n01i00246ent IS
+ type I2 is range FALSE to TRUE; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00246 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00246arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2468.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2468.vhd
new file mode 100644
index 000000000..6bb9cf697
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2468.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2468.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p03n02i02468ent IS
+END c07s03b02x02p03n02i02468ent;
+
+ARCHITECTURE c07s03b02x02p03n02i02468arch OF c07s03b02x02p03n02i02468ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_ARRAY is array ( integer range <> ) of integer;
+ SUBTYPE A_CON IS A_ARRAY ( 1 to 4 );
+
+ function F_A ( PAR : A_CON ) return A_CON is
+ begin
+ return (1,2,3,4);
+ end F_A;
+
+ variable V_A : A_CON ;
+ BEGIN
+ V_A := F_A( A_ARRAY'(1,2,others=>3) ); -- Failure_here
+ -- SEMANTIC ERROR: "others" used in aggregate in qualified expression
+ -- whose type mark denotes an unconstrained array type.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p03n02i02468 - Others cannot be used with an unconstrained array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p03n02i02468arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2469.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2469.vhd
new file mode 100644
index 000000000..7f2a36d74
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2469.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2469.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p03n02i02469ent IS
+END c07s03b02x02p03n02i02469ent;
+
+ARCHITECTURE c07s03b02x02p03n02i02469arch OF c07s03b02x02p03n02i02469ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type B_ARRAY is array ( boolean range <> ) of real;
+
+ subtype B_CON is B_ARRAY ( FALSE to TRUE );
+
+ function F_B ( PAR : B_CON ) return B_CON is
+ begin
+ return (1.0,2.0);
+ end F_B;
+
+ variable V_B : B_CON ;
+ BEGIN
+ V_B := F_B( B_ARRAY'(1.0,others=>2.0) ); -- Failure_here
+ -- SEMANTIC ERROR: "others" used in aggregate in qualified expression
+ -- whose type mark denotes an unconstrained array type.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p03n02i02469 - Others cannot be used with an unconstrained array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p03n02i02469arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc247.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc247.vhd
new file mode 100644
index 000000000..1b2ae5b26
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc247.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc247.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00247ent IS
+END c03s01b02x00p04n01i00247ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00247arch OF c03s01b02x00p04n01i00247ent IS
+ type I3 is range "0" to "9"; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00247 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00247arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2470.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2470.vhd
new file mode 100644
index 000000000..754ee7a2f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2470.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2470.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p03n02i02470ent IS
+END c07s03b02x02p03n02i02470ent;
+
+ARCHITECTURE c07s03b02x02p03n02i02470arch OF c07s03b02x02p03n02i02470ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM is ( ONE );
+ type C_ARRAY is array ( ENUM range <>, ENUM range <> ) of bit;
+ subtype C_CON is C_ARRAY ( ONE to ONE, ONE to ONE );
+
+ function F_C ( PAR : C_CON ) return C_CON is
+ begin
+ return (ONE=>(ONE=>'0'));
+ end F_C;
+
+ variable V_C : C_CON ;
+ BEGIN
+ V_C := F_C( C_ARRAY'(ONE=>('1',others=>'0')) ); -- Failure_here
+ -- SEMANTIC ERROR: "others" used in aggregate in qualified expression
+ -- whose type mark denotes an unconstrained array type.
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p03n02i02470 - Others cannot be used with an unconstrained array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p03n02i02470arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2473.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2473.vhd
new file mode 100644
index 000000000..a0c797aa4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2473.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2473.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p13n02i02473ent IS
+END c07s03b02x02p13n02i02473ent;
+
+ARCHITECTURE c07s03b02x02p13n02i02473arch OF c07s03b02x02p13n02i02473ent IS
+ type UNCONSTRAINED_ARRAY is array ( integer range <> ) of character;
+ subtype CA_UP is UNCONSTRAINED_ARRAY ( 1 to 10 );
+ subtype CA_DOWN is UNCONSTRAINED_ARRAY (10 downto 1);
+ function F_bad (C : CA_UP) return CA_DOWN is
+ begin
+ return CA_DOWN'((1 to 15 => 'B')); -- failure_here
+ end F_bad;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ F_bad("niuniuniun");
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p13n02i02473 - The range of the subtype of the aggregate array is not the same as that of the index subtype of the base subtype of the aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p13n02i02473arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2476.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2476.vhd
new file mode 100644
index 000000000..7204d473a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2476.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2476.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b02x02p13n04i02476ent IS
+END c07s03b02x02p13n04i02476ent;
+
+ARCHITECTURE c07s03b02x02p13n04i02476arch OF c07s03b02x02p13n04i02476ent IS
+ type index_values is (one, two, three);
+ type ucarr is array (index_values range <>) of Boolean;
+ subtype carr is ucarr (index_values'low to index_values'high);
+ function f2 (i : integer) return carr is
+ begin
+ return (True, True, TRUE, False); -- Failure_here
+ -- SEMANTIC ERROR : Last element association specifies
+ -- index which is out of bounds for the array.
+ end f2;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ f2(1);
+ assert FALSE
+ report "***FAILED TEST: c07s03b02x02p13n04i02476 - Indices are out of bounds for the array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b02x02p13n04i02476arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc248.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc248.vhd
new file mode 100644
index 000000000..47e23cc72
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc248.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc248.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00248ent IS
+END c03s01b02x00p04n01i00248ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00248arch OF c03s01b02x00p04n01i00248ent IS
+ type I4 is range "000" to "999"; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00248 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00248arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2482.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2482.vhd
new file mode 100644
index 000000000..204371454
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2482.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2482.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s03b03x00p01n01i02482pkg is
+ function uno return natural;
+ constant a_bit : bit_vector (uno to uno) := ( uno => '1' );
+end c07s03b03x00p01n01i02482pkg;
+
+package body c07s03b03x00p01n01i02482pkg is
+ function uno return natural is
+ begin
+ return 1;
+ end uno;
+end c07s03b03x00p01n01i02482pkg;
+
+ENTITY c07s03b03x00p01n01i02482ent IS
+END c07s03b03x00p01n01i02482ent;
+
+ARCHITECTURE c07s03b03x00p01n01i02482arch OF c07s03b03x00p01n01i02482ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ uno;
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p01n01i02482 - Function body is not defined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p01n01i02482arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2488.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2488.vhd
new file mode 100644
index 000000000..8ec1f8851
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2488.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2488.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p02n01i02488ent IS
+END c07s03b03x00p02n01i02488ent;
+
+ARCHITECTURE c07s03b03x00p02n01i02488arch OF c07s03b03x00p02n01i02488ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function func1 (a2 : integer) return integer is
+ begin
+ return 5;
+ end func1;
+ variable x: integer := 1;
+ variable y: integer;
+ BEGIN
+ y := func1 ();
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p02n01i02488 - Missing parameter list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p02n01i02488arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2489.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2489.vhd
new file mode 100644
index 000000000..c44649e66
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2489.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2489.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n01i02489ent IS
+END c07s03b03x00p04n01i02489ent;
+
+ARCHITECTURE c07s03b03x00p04n01i02489arch OF c07s03b03x00p04n01i02489ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function check (x:integer; y:boolean) return boolean is
+ begin
+ if y then
+ return true;
+ else
+ return false;
+ end if;
+ end;
+ variable p: integer := 3;
+ variable q: boolean := true;
+ variable r: boolean;
+ BEGIN
+ r := check (p);
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n01i02489 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n01i02489arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc249.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc249.vhd
new file mode 100644
index 000000000..31e2a6089
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc249.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc249.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00249ent IS
+END c03s01b02x00p04n01i00249ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00249arch OF c03s01b02x00p04n01i00249ent IS
+ type I5 is range B"000" to B"111"; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00249 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00249arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2490.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2490.vhd
new file mode 100644
index 000000000..56b8eff34
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2490.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2490.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n01i02490ent IS
+END c07s03b03x00p04n01i02490ent;
+
+ARCHITECTURE c07s03b03x00p04n01i02490arch OF c07s03b03x00p04n01i02490ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function check (x:integer; y:boolean; z:real) return boolean is
+ begin
+ if y then
+ return true;
+ end if;
+ return false;
+ end;
+ variable p : integer := 3;
+ variable q : boolean := true;
+ variable s : boolean;
+ variable r : real;
+ variable r1: real;
+ BEGIN
+ s := check (p, q, r, r1); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n01i02490 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n01i02490arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2491.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2491.vhd
new file mode 100644
index 000000000..fea955e82
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2491.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2491.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n01i02491ent IS
+END c07s03b03x00p04n01i02491ent;
+
+ARCHITECTURE c07s03b03x00p04n01i02491arch OF c07s03b03x00p04n01i02491ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function F_REAL ( A,B,C : REAL; D : REAL := 4.0 ) return REAL is
+ begin
+ return A + B + C + D;
+ end F_REAL;
+ subtype R is REAL range REAL'LEFT to F_REAL( 1.0, 2.0, 3.0, B=>4.0 );-- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n01i02491 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n01i02491arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2492.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2492.vhd
new file mode 100644
index 000000000..2f50940b2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2492.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2492.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n01i02492ent IS
+END c07s03b03x00p04n01i02492ent;
+
+ARCHITECTURE c07s03b03x00p04n01i02492arch OF c07s03b03x00p04n01i02492ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type SNACK is
+ range 1 to 1e8
+ units
+ fn; -- figanewton
+ bf = 12 fn; -- boxafiganewton
+ end units;
+ function F_SNACK ( A : REAL := 1.0;
+ B : INTEGER;
+ C : SNACK ) return SNACK is
+ begin
+ return C;
+ end F_SNACK;
+ BEGIN
+ PT <= F_SNACK(B=>5); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n01i02492 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n01i02492arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2493.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2493.vhd
new file mode 100644
index 000000000..19ca155c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2493.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2493.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n01i02493ent IS
+END c07s03b03x00p04n01i02493ent;
+
+ARCHITECTURE c07s03b03x00p04n01i02493arch OF c07s03b03x00p04n01i02493ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type index_values is (one, two, three);
+ type ucarr is array (index_values range <>) of Boolean;
+ subtype carr is ucarr (index_values'low to index_values'high);
+ function f1 (i : integer) return carr is
+ begin
+ return (index_values'LOW => TRUE, others => False);
+ end f1;
+ variable V1 : CARR;
+ variable I1 : Integer := 10;
+ BEGIN
+ V1 := f1(I1,10) ; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n01i02493 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n01i02493arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2497.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2497.vhd
new file mode 100644
index 000000000..07a593b2a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2497.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2497.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p04n02i02497ent IS
+END c07s03b03x00p04n02i02497ent;
+
+ARCHITECTURE c07s03b03x00p04n02i02497arch OF c07s03b03x00p04n02i02497ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function func1 (a1 : real; b1 : integer:= 12) return integer is
+ begin
+ return 5;
+ end;
+ variable x: real := 1.2;
+ variable y: integer ;
+ BEGIN
+ y := func1 (y,x);
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p04n02i02497 - The actual parameter can be specified explicitly by an association element in the association list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p04n02i02497arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2498.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2498.vhd
new file mode 100644
index 000000000..26eaa31f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2498.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2498.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b03x00p05n01i02498ent IS
+END c07s03b03x00p05n01i02498ent;
+
+ARCHITECTURE c07s03b03x00p05n01i02498arch OF c07s03b03x00p05n01i02498ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function check (x:integer; y:boolean; z:real) return boolean is
+ begin
+ if y then
+ return true;
+ else return false;
+ end if;
+ end;
+ variable p: integer := 3;
+ variable q: boolean := true;
+ variable r: integer; -- should be real.
+ variable s: boolean;
+ BEGIN
+ s := check (p, q, r); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c07s03b03x00p05n01i02498 - Actual parameter must belong to the subtype of the associated formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b03x00p05n01i02498arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc250.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc250.vhd
new file mode 100644
index 000000000..53e3b9d37
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc250.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc250.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00250ent IS
+END c03s01b02x00p04n01i00250ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00250arch OF c03s01b02x00p04n01i00250ent IS
+ type I6 is range TWO to THREE; -- Failure_here
+ -- SEMANTIC ERROR: RANGE CONSTRAINT IN INTEGER TYPE DEFINITION
+ -- MUST BE OF INTEGER TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00250 - Range constraint must be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00250arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2503.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2503.vhd
new file mode 100644
index 000000000..bca0a3b4b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2503.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2503.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p02n01i02503ent IS
+END c07s03b04x00p02n01i02503ent;
+
+ARCHITECTURE c07s03b04x00p02n01i02503arch OF c07s03b04x00p02n01i02503ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec_type is
+ record
+ x : bit;
+ y : integer;
+ z : boolean;
+ end record;
+ variable S :rec_type;
+ BEGIN
+ S := rec_type(bit'('0'), 1, true);
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p02n01i02503 - Missing apostrophe."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p02n01i02503arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2504.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2504.vhd
new file mode 100644
index 000000000..7b4115919
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2504.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2504.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p03n01i02504ent IS
+END c07s03b04x00p03n01i02504ent;
+
+ARCHITECTURE c07s03b04x00p03n01i02504arch OF c07s03b04x00p03n01i02504ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type bit_vctor is array (bit'('0') to bit'('C') ) of integer;
+ -- Failure_here
+ -- 'C' is not of type bit.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02504 - Operand must have the same type as the base type of the type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p03n01i02504arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2505.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2505.vhd
new file mode 100644
index 000000000..e4bf53dbc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2505.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2505.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p03n01i02505ent IS
+END c07s03b04x00p03n01i02505ent;
+
+ARCHITECTURE c07s03b04x00p03n01i02505arch OF c07s03b04x00p03n01i02505ent IS
+ signal OUT_BIT : bit;
+BEGIN
+ TESTING: PROCESS
+ variable G_BOOL : boolean;
+ BEGIN
+ OUT_BIT <= bit'(G_BOOL); -- Failure_here
+ -- SEMANTIC ERROR: type of expression does not match type mark.
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02505 - Expression type does not match type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p03n01i02505arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2507.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2507.vhd
new file mode 100644
index 000000000..048684ebf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2507.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2507.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p03n01i02507ent IS
+END c07s03b04x00p03n01i02507ent;
+
+ARCHITECTURE c07s03b04x00p03n01i02507arch OF c07s03b04x00p03n01i02507ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function F1 ( PARAM : bit ) return boolean is
+ begin
+ return boolean'(PARAM); -- Failure_here
+ -- SEMANTIC ERROR: type of expression does not match type mark.
+ end F1;
+ variable k : boolean;
+ BEGIN
+ k := F1('1');
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02507 - Expression type does not match type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p03n01i02507arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2508.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2508.vhd
new file mode 100644
index 000000000..64bd4de9e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2508.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2508.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p03n01i02508ent IS
+END c07s03b04x00p03n01i02508ent;
+
+ARCHITECTURE c07s03b04x00p03n01i02508arch OF c07s03b04x00p03n01i02508ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A_REC is record
+ E : integer;
+ end record;
+ type B_REC is record
+ E : integer;
+ end record;
+ function F2 ( PARAM : A_REC ) return B_REC is
+ begin
+ return B_REC'(PARAM); -- Failure_here
+ -- SEMANTIC ERROR: type of expression does not match type mark.
+ end F2;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02508 - Expression type does not match type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p03n01i02508arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2509.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2509.vhd
new file mode 100644
index 000000000..846b9b33a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2509.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2509.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b04x00p03n01i02509ent IS
+ generic ( G_BOOL : boolean ) ;
+ port ( OUT_BIT : out bit ) ;
+ ENTITY c07s03b04x00p03n01i02509ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ OUT_BIT <= bit'(G_BOOL); -- Failure_here
+ -- SEMANTIC ERROR: type of expression does not match type mark.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02509 - Expression type does not match type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c07s03b04x00p03n01i02509arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2510.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2510.vhd
new file mode 100644
index 000000000..b2a899b64
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2510.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2510.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c07s03b04x00p03n01i02510pkg is
+ type A_REC is record
+ E : integer;
+ end record;
+ type B_REC is record
+ E : integer;
+ end record;
+end c07s03b04x00p03n01i02510pkg;
+
+use work.c07s03b04x00p03n01i02510pkg.all;
+
+ENTITY c07s03b04x00p03n01i02510ent IS
+ generic ( G_BREC : B_REC ) ;
+ port ( OUT_A : out A_REC ) ;
+END c07s03b04x00p03n01i02510ent;
+
+ARCHITECTURE c07s03b04x00p03n01i02510arch OF c07s03b04x00p03n01i02510ent IS
+
+BEGIN
+ TESTING: PROCESS
+ OUT_A <= A_REC'(G_BREC); -- Failure_here
+ -- SEMANTIC ERROR: type of expression does not match type mark.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b04x00p03n01i02510 - Expression type does not match type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b04x00p03n01i02510arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2512.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2512.vhd
new file mode 100644
index 000000000..7ec6e49ab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2512.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2512.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p02n01i02512ent IS
+END c07s03b05x00p02n01i02512ent;
+
+ARCHITECTURE c07s03b05x00p02n01i02512arch OF c07s03b05x00p02n01i02512ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Apples is range 0 to 75;
+ type Oranges is range 0 to 75;
+ variable Macintosh : Apples;
+ variable Seville, valencia : Oranges;
+ BEGIN
+ Macintosh := Apples (Seville) ;
+ Seville := Oranges () ; -- Failure_here
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p02n01i02512 - Missing expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p02n01i02512arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2514.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2514.vhd
new file mode 100644
index 000000000..d7beb41f5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2514.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2514.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p03n02i02514ent IS
+END c07s03b05x00p03n02i02514ent;
+
+ARCHITECTURE c07s03b05x00p03n02i02514arch OF c07s03b05x00p03n02i02514ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Grapes is (Sweet, Sour);
+ type Oranges is (Sweet, Bitter);
+ variable Green : Grapes;
+ variable Seville, valencia : Oranges;
+ BEGIN
+ Green := Grapes (Sweet); -- Failure_here
+ -- Sweet is not determinable
+ -- independent of the context.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p03n02i02514 - Type of operand must be determinable independent of the context."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p03n02i02514arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2516.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2516.vhd
new file mode 100644
index 000000000..f3b39f3c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2516.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2516.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p03n03i02516ent IS
+END c07s03b05x00p03n03i02516ent;
+
+ARCHITECTURE c07s03b05x00p03n03i02516arch OF c07s03b05x00p03n03i02516ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Grapes is (Sweet, Sour);
+ type Oranges is (Sweet, Bitter);
+ variable Green : Grapes;
+ variable Seville, valencia : Oranges;
+ BEGIN
+ Green := Grapes (null); -- Failure_here
+ -- null is not allowed.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p03n03i02516 - Operand cannot be the literal null, an alloator, an aggregate, or a string literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p03n03i02516arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2517.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2517.vhd
new file mode 100644
index 000000000..f491560a3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2517.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2517.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p03n03i02517ent IS
+END c07s03b05x00p03n03i02517ent;
+
+ARCHITECTURE c07s03b05x00p03n03i02517arch OF c07s03b05x00p03n03i02517ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype Grapes is STRING;
+ constant Green : Grapes := Grapes ("CLSI"); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p03n03i02517 - Operand cannot be the literal null, an alloator, an aggregate, or a string literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p03n03i02517arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2518.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2518.vhd
new file mode 100644
index 000000000..67cc65033
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2518.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2518.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p03n03i02518ent IS
+END c07s03b05x00p03n03i02518ent;
+
+ARCHITECTURE c07s03b05x00p03n03i02518arch OF c07s03b05x00p03n03i02518ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Grapes is array (1 to 4) of real;
+ variable Green : Grapes;
+ BEGIN
+ Green := Grapes (1.1, 1.2, 1.3, 1.4);
+ -- Failure_here
+ -- Aggregate is not allowed.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p03n03i02518 - Operand cannot be the literal null, an alloator, an aggregate, or a string literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p03n03i02518arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc252.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc252.vhd
new file mode 100644
index 000000000..45a101e51
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc252.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc252.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00252ent IS
+END c03s01b02x00p04n01i00252ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00252arch OF c03s01b02x00p04n01i00252ent IS
+-- Failure_here: 1.0E-8 is an error, because it is not an integer
+ type time is range 0 to 1.0E-8 units
+ fs;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00252 - Bound not of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00252arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2521.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2521.vhd
new file mode 100644
index 000000000..c0f86b2fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2521.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2521.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p03n04i02521ent IS
+END c07s03b05x00p03n04i02521ent;
+
+ARCHITECTURE c07s03b05x00p03n04i02521arch OF c07s03b05x00p03n04i02521ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Apples is range 0 to 75;
+ type Oranges is range 0 to 75;
+ variable Macintosh : Apples;
+ variable Seville, valencia : Oranges;
+ BEGIN
+ Macintosh := Apples (Seville) ;
+ Seville := Oranges (Macintosh,10) ; -- Failure_here
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p03n04i02521 - Multiple expression are not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p03n04i02521arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2523.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2523.vhd
new file mode 100644
index 000000000..22d640969
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2523.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2523.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p04n01i02523ent IS
+END c07s03b05x00p04n01i02523ent;
+
+ARCHITECTURE c07s03b05x00p04n01i02523arch OF c07s03b05x00p04n01i02523ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Apples is range 0 to 75;
+ type Oranges is range 0 to 75;
+ variable Macintosh : Apples;
+ variable Seville : Oranges;
+ BEGIN
+ Macintosh := Apples (Seville) ;
+ Seville := Oranges (100) ;
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p04n01i02523 - Value does not belong to the subtype indicated by the type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p04n01i02523arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2526.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2526.vhd
new file mode 100644
index 000000000..3cc86333d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2526.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2526.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p06n03i02526ent IS
+END c07s03b05x00p06n03i02526ent;
+
+ARCHITECTURE c07s03b05x00p06n03i02526arch OF c07s03b05x00p06n03i02526ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type Apples is range 0 to 75;
+ type Oranges is range 0 to 75;
+ type MVL is ('0','1','Z') ;
+ variable Macintosh : Apples;
+ variable Seville, valencia : Oranges;
+ variable V1 : MVL;
+ BEGIN
+ Macintosh := Apples (Seville) ;
+ V1 := Oranges (76) ; -- Failure_here
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p06n03i02526 - Target type is not an Integer or floating point type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p06n03i02526arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc253.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc253.vhd
new file mode 100644
index 000000000..5ef725f04
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc253.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc253.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p04n01i00253ent IS
+ generic (constant gencons : integer);
+ port (pout : out integer);
+ type tygee is range gencons to 1000;
+END c03s01b02x00p04n01i00253ent;
+
+ARCHITECTURE c03s01b02x00p04n01i00253arch OF c03s01b02x00p04n01i00253ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ pout <= 1;
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p04n01i00253 - Bound of a range constraint used in an integer definition must be locally static."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p04n01i00253arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2534.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2534.vhd
new file mode 100644
index 000000000..80e432275
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2534.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2534.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p13n02i02534ent IS
+END c07s03b05x00p13n02i02534ent;
+
+ARCHITECTURE c07s03b05x00p13n02i02534arch OF c07s03b05x00p13n02i02534ent IS
+ type Memory is array (Integer range <>) of Integer;
+ subtype T1 is Memory (1 to 6) ;
+ subtype T2 is Memory (2 to 4) ;
+BEGIN
+ TESTING: PROCESS
+ variable V1 : T1 ;
+ variable V2 : T2 := (2,3,6) ;
+ BEGIN
+ V1 := Memory (V2) ; -- Failure_here
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p13n02i02534 - Bounds of the result are different from the index subtype of the target."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p13n02i02534arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2536.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2536.vhd
new file mode 100644
index 000000000..926755907
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2536.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2536.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p13n03i02536ent IS
+END c07s03b05x00p13n03i02536ent;
+
+ARCHITECTURE c07s03b05x00p13n03i02536arch OF c07s03b05x00p13n03i02536ent IS
+ type Memory is array (Integer range <>) of Integer;
+ subtype T1 is Memory (1 to 6) ;
+ subtype T2 is Memory (1 to 6) ;
+ subtype T3 is Memory (2 to 4) ;
+BEGIN
+ TESTING: PROCESS
+ variable V1 : T1 ;
+ variable V2 : T3 := (2,3,6) ;
+ BEGIN
+ V1 := T2 (V2) ; -- Failure_here
+ wait for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p13n03i02536 - A check is made that for each element of the operand there is a matching element of the target subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p13n03i02536arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2537.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2537.vhd
new file mode 100644
index 000000000..13ca09b07
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2537.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2537.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02537ent IS
+END c07s03b05x00p14n01i02537ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02537arch OF c07s03b05x00p14n01i02537ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ RE1 := RE2 + RE2; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02537 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02537arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2538.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2538.vhd
new file mode 100644
index 000000000..f5bddd152
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2538.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2538.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02538ent IS
+END c07s03b05x00p14n01i02538ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02538arch OF c07s03b05x00p14n01i02538ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ IN1 := IN2 + IN2; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02538 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02538arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2539.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2539.vhd
new file mode 100644
index 000000000..6b71e0467
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2539.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2539.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02539ent IS
+END c07s03b05x00p14n01i02539ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02539arch OF c07s03b05x00p14n01i02539ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ IN1 := IN2 + IN2; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02539 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02539arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2540.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2540.vhd
new file mode 100644
index 000000000..eb0a32d16
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2540.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2540.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02540ent IS
+END c07s03b05x00p14n01i02540ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02540arch OF c07s03b05x00p14n01i02540ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ RE2 := RE2 * RE1 ; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02540 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02540arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2541.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2541.vhd
new file mode 100644
index 000000000..fad13e2a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2541.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2541.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02541ent IS
+END c07s03b05x00p14n01i02541ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02541arch OF c07s03b05x00p14n01i02541ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ RE2 := RE2 * RE1 ; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02541 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02541arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2542.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2542.vhd
new file mode 100644
index 000000000..5000b9581
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2542.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2542.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02542ent IS
+END c07s03b05x00p14n01i02542ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02542arch OF c07s03b05x00p14n01i02542ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ RE2 := RE1/RE2; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02542 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02542arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2543.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2543.vhd
new file mode 100644
index 000000000..71cc5326d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2543.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2543.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02543ent IS
+END c07s03b05x00p14n01i02543ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02543arch OF c07s03b05x00p14n01i02543ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ IN2 := IN1/IN2; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02543 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02543arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2544.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2544.vhd
new file mode 100644
index 000000000..0ec4c20bf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2544.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2544.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02544ent IS
+END c07s03b05x00p14n01i02544ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02544arch OF c07s03b05x00p14n01i02544ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ IN2 := IN2 - IN1; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02544 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02544arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2545.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2545.vhd
new file mode 100644
index 000000000..851bc0b91
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2545.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2545.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p14n01i02545ent IS
+END c07s03b05x00p14n01i02545ent;
+
+ARCHITECTURE c07s03b05x00p14n01i02545arch OF c07s03b05x00p14n01i02545ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type X1 is range 1.0 to 100.0 ;
+ type X2 is range 1.0 to 100.0 ;
+ type I1 is range 1 to 1000000;
+ type I2 is range 1 to 10000000 ;
+ variable RE1 : X1 ;
+ variable RE2 : X2 ;
+ variable IN1 : I1 ;
+ variable IN2 : I2 ;
+ BEGIN
+ RE1 := RE2 - RE1; -- Failure_here
+ -- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
+ -- UNIVERSAL INTEGER OR UNIVERSAL REAL.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p14n01i02545 - Type conversion can only occur on operand of universal real or integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p14n01i02545arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2548.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2548.vhd
new file mode 100644
index 000000000..a41393e58
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2548.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2548.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p08n01i02548ent IS
+END c07s03b05x00p08n01i02548ent;
+
+ARCHITECTURE c07s03b05x00p08n01i02548arch OF c07s03b05x00p08n01i02548ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type century is array (1 to 1000) of real ;
+ type millenia is array (1 to 100 ) of real ;
+ variable hundreds : century ;
+ variable thousand : millenia ;
+ BEGIN
+ thousand := millenia (hundreds);
+ -- Failure_here
+ -- dimensionality not same.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p08n01i02548 - Operand and the target type should have the same dimensionality in a type conversion."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p08n01i02548arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2549.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2549.vhd
new file mode 100644
index 000000000..41bac50f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2549.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2549.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b05x00p07n01i02549ent IS
+END c07s03b05x00p07n01i02549ent;
+
+ARCHITECTURE c07s03b05x00p07n01i02549arch OF c07s03b05x00p07n01i02549ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type century is array (1 to 2) of real ;
+ type millenia is array (bit'('0') to bit'('1') ) of real ;
+ variable hundreds : century ;
+ variable thousand : millenia ;
+ BEGIN
+ thousand := millenia (hundreds);
+ -- Failure_here
+ -- index types not same.
+ assert FALSE
+ report "***FAILED TEST: c07s03b05x00p07n01i02549 - Operand and the target type should have the same index type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b05x00p07n01i02549arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2552.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2552.vhd
new file mode 100644
index 000000000..1c2e27be2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2552.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2552.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02552ent IS
+END c07s03b06x00p02n01i02552ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02552arch OF c07s03b06x00p02n01i02552ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type CELL;
+ type LINK is access CELL;
+ type CELL is
+ record
+ VALUE : Integer;
+ SUCC : LINK;
+ PRED : LINK;
+ end record;
+ variable HEAD : LINK := CELL'(0,null,null); -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02552 - Missing keyword 'new'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02552arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2553.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2553.vhd
new file mode 100644
index 000000000..2e3075f99
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2553.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2553.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02553ent IS
+END c07s03b06x00p02n01i02553ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02553arch OF c07s03b06x00p02n01i02553ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b : bit;
+ BEGIN
+ b := new bit;
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02553 - Not an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02553arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2554.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2554.vhd
new file mode 100644
index 000000000..e0dac6bfb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2554.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2554.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02554ent IS
+END c07s03b06x00p02n01i02554ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02554arch OF c07s03b06x00p02n01i02554ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b : bit_vector(0 to 31);
+ BEGIN
+ b := new bit_vector;
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02554 - Not an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02554arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2555.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2555.vhd
new file mode 100644
index 000000000..f4359c903
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2555.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2555.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02555ent IS
+END c07s03b06x00p02n01i02555ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02555arch OF c07s03b06x00p02n01i02555ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b : integer;
+ BEGIN
+ b := new integer;
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02555 - Not an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02555arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2556.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2556.vhd
new file mode 100644
index 000000000..e3e43435c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2556.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2556.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02556ent IS
+END c07s03b06x00p02n01i02556ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02556arch OF c07s03b06x00p02n01i02556ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b : real;
+ BEGIN
+ b := new real;
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02556 - Not an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02556arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2557.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2557.vhd
new file mode 100644
index 000000000..6c3d17f7e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2557.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2557.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p02n01i02557ent IS
+END c07s03b06x00p02n01i02557ent;
+
+ARCHITECTURE c07s03b06x00p02n01i02557arch OF c07s03b06x00p02n01i02557ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable b : boolean;
+ BEGIN
+ b := new boolean;
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p02n01i02557 - Not an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p02n01i02557arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc256.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc256.vhd
new file mode 100644
index 000000000..4a0d38c93
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc256.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc256.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p08n01i00256ent IS
+END c03s01b02x00p08n01i00256ent;
+
+ARCHITECTURE c03s01b02x00p08n01i00256arch OF c03s01b02x00p08n01i00256ent IS
+ -- Failure_here: 1E10 is larger than integer range
+ type time is range 0 to 1E10
+ units
+ fs;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p08n01i00256 - Integer declared outside bounds."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p08n01i00256arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2560.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2560.vhd
new file mode 100644
index 000000000..6ccb3e6dc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2560.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2560.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p05n02i02560ent IS
+END c07s03b06x00p05n02i02560ent;
+
+ARCHITECTURE c07s03b06x00p05n02i02560arch OF c07s03b06x00p05n02i02560ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type CELL;
+ type LINK is access CELL;
+ type CELL is
+ record
+ VALUE : Bit;
+ SUCC : Bit;
+ end record;
+ type T1 is access BIT_VECTOR ;
+ variable HEAD : LINK := new CELL'('1','0') ;
+ variable V2 : T1 := new BIT_VECTOR ; --- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p05n02i02560 - Subtype indication cannot be an unconstrained array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p05n02i02560arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2561.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2561.vhd
new file mode 100644
index 000000000..e873f2f98
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2561.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2561.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s03b06x00p05n03i02561ent IS
+END c07s03b06x00p05n03i02561ent;
+
+ARCHITECTURE c07s03b06x00p05n03i02561arch OF c07s03b06x00p05n03i02561ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ONETWO is range 1 to 2;
+ type more_bad_sig_drivers is array (positive range <>) of ONETWO;
+ function F2( candidate : more_bad_sig_drivers ) return ONETWO is
+ variable R : ONETWO;
+ begin
+ return R;
+ end F2;
+ type LINK is access ONETWO;
+ variable HEAD : LINK := new F2 ONETWO; --- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c07s03b06x00p05n03i02561 - Subtype indication should not include a resolution function."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s03b06x00p05n03i02561arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc257.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc257.vhd
new file mode 100644
index 000000000..a1a06b0e2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc257.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc257.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b02x00p08n01i00257ent IS
+END c03s01b02x00p08n01i00257ent;
+
+ARCHITECTURE c03s01b02x00p08n01i00257arch OF c03s01b02x00p08n01i00257ent IS
+ -- The statement really creates two errors, one for underflow and one for overflow
+ subtype itest is integer range -2147483648 to 2147483648;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b02x00p08n01i00257 - Integer declared outside bounds."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b02x00p08n01i00257arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2571.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2571.vhd
new file mode 100644
index 000000000..06b44221c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2571.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2571.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s05b00x00p16n02i02571ent IS
+END c07s05b00x00p16n02i02571ent;
+
+ARCHITECTURE c07s05b00x00p16n02i02571arch OF c07s05b00x00p16n02i02571ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert NOT(2E26 = (2E13*1E13))
+ report "***PASSED TEST: c07s05b00x00p16n02i02571"
+ severity NOTE;
+ assert (2E26 = (2E13*1E13))
+ report "***FAILED TEST: c07s05b00x00p16n02i02571 - The values of the operands and the result lie within the range of the integer type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s05b00x00p16n02i02571arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2572.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2572.vhd
new file mode 100644
index 000000000..a557e9c59
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2572.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2572.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c07s05b00x00p16n03i02572ent IS
+END c07s05b00x00p16n03i02572ent;
+
+ARCHITECTURE c07s05b00x00p16n03i02572arch OF c07s05b00x00p16n03i02572ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert NOT(2.12E80 = (1.06E40*2.0E40))
+ report "***PASSED TEST: c07s05b00x00p16n03i02572"
+ severity NOTE;
+ assert (2.12E80 = (1.06E40*2.0E40))
+ report "***FAILED TEST: c07s05b00x00p16n03i02572 - Integer operands and result lie outside the bounds of floating point type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c07s05b00x00p16n03i02572arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2574.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2574.vhd
new file mode 100644
index 000000000..c8ff944c2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2574.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2574.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s02b00x00p02n01i02574ent IS
+END c13s02b00x00p02n01i02574ent;
+
+ARCHITECTURE c13s02b00x00p02n01i02574arch OF c13s02b00x00p02n01i02574ent IS
+ type MEMisrange4to4 ; -- Meant to be MEM is range 4 to 4 but
+ -- could be confused for an incomplete type.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s02b00x00p02n01i02574 - Adjacent lexical elements should be separated by a separator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s02b00x00p02n01i02574arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2576.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2576.vhd
new file mode 100644
index 000000000..c244a659e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2576.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2576.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s02b00x00p04n02i02576ent IS
+ port (PT:BOOLEAN) ;
+ type REL1is range 1.0 to 10.0;
+ --ERROR: AT LEAST ONE SPACE MUST SEPARATE ADJACENT IDENTIFIERS
+END c13s02b00x00p04n02i02576ent;
+
+ARCHITECTURE c13s02b00x00p04n02i02576arch OF c13s02b00x00p04n02i02576ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s02b00x00p04n02i02576 - There should be atleast one space between adjacent identifiers."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s02b00x00p04n02i02576arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2577.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2577.vhd
new file mode 100644
index 000000000..78427ced0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2577.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2577.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s02b00x00p05n01i02577ent IS
+END c13s02b00x00p05n01i02577ent;
+
+ARCHITECTURE c13s02b00x00p05n01i02577arch OF c13s02b00x00p05n01i02577ent IS
+ -- ERROR: compound delimiter := contains a space
+ constant c1: character: ='A'; -- failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s02b00x00p05n01i02577 - Compound delimiter cannot contain a space."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s02b00x00p05n01i02577arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2579.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2579.vhd
new file mode 100644
index 000000000..877e38ab7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2579.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2579.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s02b00x00p12n01i02579ent IS
+
+ port (PT:BOOLEAN) ;
+ ty
+ pe ONE is range 1 to 1;
+ --ERROR: RESERVED WORDS MUST FIT ON ONE LINE
+
+END c13s02b00x00p12n01i02579ent;
+
+ARCHITECTURE c13s02b00x00p12n01i02579arch OF c13s02b00x00p12n01i02579ent IS
+
+ b
+ egin
+--ERROR: RESERVED WORDS MUST FIT ON ONE LINE
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s02b00x00p12n01i02579 - Reserved words must fit on one line."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s02b00x00p12n01i02579arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2580.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2580.vhd
new file mode 100644
index 000000000..246b8a6a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2580.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2580.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02580ent IS
+END c13s03b01x00p02n01i02580ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02580arch OF c13s03b01x00p02n01i02580ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable "k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02580 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02580arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2581.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2581.vhd
new file mode 100644
index 000000000..ac2c87ce2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2581.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2581.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02581ent IS
+END c13s03b01x00p02n01i02581ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02581arch OF c13s03b01x00p02n01i02581ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k! : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02581 - Identifier can not end with '!'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02581arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2582.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2582.vhd
new file mode 100644
index 000000000..66ae5bd35
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2582.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2582.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02582ent IS
+END c13s03b01x00p02n01i02582ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02582arch OF c13s03b01x00p02n01i02582ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k# : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02582 - Identifier can not end with '#'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02582arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2583.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2583.vhd
new file mode 100644
index 000000000..118f089c6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2583.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2583.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02583ent IS
+END c13s03b01x00p02n01i02583ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02583arch OF c13s03b01x00p02n01i02583ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k% : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02583 - Identifier can not end with '%'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02583arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2584.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2584.vhd
new file mode 100644
index 000000000..0fe854b24
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2584.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2584.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02584ent IS
+END c13s03b01x00p02n01i02584ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02584arch OF c13s03b01x00p02n01i02584ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k^ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02584 - Identifier can not end with '^'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02584arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2585.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2585.vhd
new file mode 100644
index 000000000..5446b691e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2585.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2585.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02585ent IS
+END c13s03b01x00p02n01i02585ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02585arch OF c13s03b01x00p02n01i02585ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k& : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02585 - Identifier can not end with '&'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02585arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2586.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2586.vhd
new file mode 100644
index 000000000..630268594
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2586.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2586.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02586ent IS
+END c13s03b01x00p02n01i02586ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02586arch OF c13s03b01x00p02n01i02586ent IS
+ ARCHITECTURE ch130301_p00201_06_arch OF ch130301_p00201_06_ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ variable k* : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02586 - Identifier can not end with '*'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02586arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2587.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2587.vhd
new file mode 100644
index 000000000..ee8c5fa36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2587.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2587.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02587ent IS
+END c13s03b01x00p02n01i02587ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02587arch OF c13s03b01x00p02n01i02587ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k( : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02587 - Identifier can not end with '('."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02587arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2588.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2588.vhd
new file mode 100644
index 000000000..c8198c680
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2588.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2588.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02588ent IS
+END c13s03b01x00p02n01i02588ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02588arch OF c13s03b01x00p02n01i02588ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k) : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02588 - Identifier can not end with ')'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02588arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2589.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2589.vhd
new file mode 100644
index 000000000..36f6f7a99
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2589.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2589.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02589ent IS
+END c13s03b01x00p02n01i02589ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02589arch OF c13s03b01x00p02n01i02589ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k+ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02589 - Identifier can not end with '+'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02589arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2590.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2590.vhd
new file mode 100644
index 000000000..00f646176
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2590.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2590.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02590ent IS
+END c13s03b01x00p02n01i02590ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02590arch OF c13s03b01x00p02n01i02590ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k~ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02590 - Identifier can not end with '~'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02590arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2591.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2591.vhd
new file mode 100644
index 000000000..641bf6c7a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2591.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2591.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02591ent IS
+END c13s03b01x00p02n01i02591ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02591arch OF c13s03b01x00p02n01i02591ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k- : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02591 - Identifier can not end with '-'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02591arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2592.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2592.vhd
new file mode 100644
index 000000000..690372305
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2592.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2592.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02592ent IS
+END c13s03b01x00p02n01i02592ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02592arch OF c13s03b01x00p02n01i02592ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k= : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02592 - Identifier can not end with '='."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02592arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2593.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2593.vhd
new file mode 100644
index 000000000..79b75ad28
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2593.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2593.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02593ent IS
+END c13s03b01x00p02n01i02593ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02593arch OF c13s03b01x00p02n01i02593ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k` : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02593 - Identifier can not end with '`'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02593arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2594.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2594.vhd
new file mode 100644
index 000000000..c91de0733
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2594.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2594.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02594ent IS
+END c13s03b01x00p02n01i02594ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02594arch OF c13s03b01x00p02n01i02594ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k{ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02594 - Identifier can not end with '{'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02594arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2595.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2595.vhd
new file mode 100644
index 000000000..289ebc9d5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2595.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2595.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02595ent IS
+END c13s03b01x00p02n01i02595ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02595arch OF c13s03b01x00p02n01i02595ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k} : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02595 - Identifier can not end with '}'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02595arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2596.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2596.vhd
new file mode 100644
index 000000000..cb26d7ad0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2596.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2596.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02596ent IS
+END c13s03b01x00p02n01i02596ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02596arch OF c13s03b01x00p02n01i02596ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k[ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02596 - Identifier can not end with '['."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02596arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2597.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2597.vhd
new file mode 100644
index 000000000..738a9053e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2597.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2597.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02597ent IS
+END c13s03b01x00p02n01i02597ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02597arch OF c13s03b01x00p02n01i02597ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k] : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02597 - Identifier can not end with ']'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02597arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2598.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2598.vhd
new file mode 100644
index 000000000..9cf54187d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2598.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2598.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02598ent IS
+END c13s03b01x00p02n01i02598ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02598arch OF c13s03b01x00p02n01i02598ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k; : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02598 - Identifier can not end with ';'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02598arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2599.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2599.vhd
new file mode 100644
index 000000000..b04f24213
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2599.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2599.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02599ent IS
+END c13s03b01x00p02n01i02599ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02599arch OF c13s03b01x00p02n01i02599ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k' : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02599 - Identifier can not end with '''."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02599arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2600.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2600.vhd
new file mode 100644
index 000000000..34f78c9a8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2600.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2600.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02600ent IS
+END c13s03b01x00p02n01i02600ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02600arch OF c13s03b01x00p02n01i02600ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k: : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02600 - Identifier can not end with ':'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02600arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2601.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2601.vhd
new file mode 100644
index 000000000..c645ecf70
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2601.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2601.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02601ent IS
+END c13s03b01x00p02n01i02601ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02601arch OF c13s03b01x00p02n01i02601ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k" : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02601 - Identifier can not end with '"'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02601arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2602.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2602.vhd
new file mode 100644
index 000000000..203147f4c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2602.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2602.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02602ent IS
+END c13s03b01x00p02n01i02602ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02602arch OF c13s03b01x00p02n01i02602ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k, : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02602 - Identifier can not end with ','."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02602arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2603.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2603.vhd
new file mode 100644
index 000000000..1a2439724
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2603.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2603.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02603ent IS
+END c13s03b01x00p02n01i02603ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02603arch OF c13s03b01x00p02n01i02603ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k. : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02603 - Identifier can not end with '.'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02603arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2604.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2604.vhd
new file mode 100644
index 000000000..e1ad10638
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2604.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2604.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02604ent IS
+END c13s03b01x00p02n01i02604ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02604arch OF c13s03b01x00p02n01i02604ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k/ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02604 - Identifier can not end with '/'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02604arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2605.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2605.vhd
new file mode 100644
index 000000000..1ec22116d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2605.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2605.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02605ent IS
+END c13s03b01x00p02n01i02605ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02605arch OF c13s03b01x00p02n01i02605ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k< : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02605 - Identifier can not end with '<'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02605arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2606.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2606.vhd
new file mode 100644
index 000000000..8c4c8d1cf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2606.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2606.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02606ent IS
+END c13s03b01x00p02n01i02606ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02606arch OF c13s03b01x00p02n01i02606ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k> : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02606 - Identifier can not end with '>'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02606arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2607.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2607.vhd
new file mode 100644
index 000000000..b77c2053f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2607.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2607.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02607ent IS
+END c13s03b01x00p02n01i02607ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02607arch OF c13s03b01x00p02n01i02607ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k? : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02607 - Identifier can not end with '?'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02607arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2608.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2608.vhd
new file mode 100644
index 000000000..0fa71320d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2608.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2608.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02608ent IS
+END c13s03b01x00p02n01i02608ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02608arch OF c13s03b01x00p02n01i02608ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k\ : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02608 - Identifier can not end with '\'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02608arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2609.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2609.vhd
new file mode 100644
index 000000000..cb23472f7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2609.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2609.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02609ent IS
+END c13s03b01x00p02n01i02609ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02609arch OF c13s03b01x00p02n01i02609ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable 01k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02609 - Identifier can not begin with a digit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02609arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2610.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2610.vhd
new file mode 100644
index 000000000..6da7e93ec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2610.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2610.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02610ent IS
+END c13s03b01x00p02n01i02610ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02610arch OF c13s03b01x00p02n01i02610ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k| : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02610 - Identifier can not end with '|'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02610arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2611.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2611.vhd
new file mode 100644
index 000000000..553ab1098
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2611.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2611.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02611ent IS
+END c13s03b01x00p02n01i02611ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02611arch OF c13s03b01x00p02n01i02611ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k!k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02611 - Identifier can not contain '!'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02611arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2612.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2612.vhd
new file mode 100644
index 000000000..f97af1545
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2612.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2612.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02612ent IS
+END c13s03b01x00p02n01i02612ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02612arch OF c13s03b01x00p02n01i02612ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k#k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02612 - Identifier can not contain '#'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02612arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2613.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2613.vhd
new file mode 100644
index 000000000..2678ecff1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2613.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2613.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02613ent IS
+END c13s03b01x00p02n01i02613ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02613arch OF c13s03b01x00p02n01i02613ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k%k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02613 - Identifier can not contain '%'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02613arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2614.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2614.vhd
new file mode 100644
index 000000000..c1d1035fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2614.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2614.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02614ent IS
+END c13s03b01x00p02n01i02614ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02614arch OF c13s03b01x00p02n01i02614ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k^k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02614 - Identifier can not contain '^'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02614arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2615.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2615.vhd
new file mode 100644
index 000000000..53bd56a77
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2615.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2615.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02615ent IS
+END c13s03b01x00p02n01i02615ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02615arch OF c13s03b01x00p02n01i02615ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k&k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02615 - Identifier can not contain '&'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02615arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2616.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2616.vhd
new file mode 100644
index 000000000..2325de996
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2616.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2616.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02616ent IS
+END c13s03b01x00p02n01i02616ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02616arch OF c13s03b01x00p02n01i02616ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k*k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02616 - Identifier can not contain '*'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02616arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2617.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2617.vhd
new file mode 100644
index 000000000..c2242c644
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2617.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2617.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02617ent IS
+END c13s03b01x00p02n01i02617ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02617arch OF c13s03b01x00p02n01i02617ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k(k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02617 - Identifier can not contain '('."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02617arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2618.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2618.vhd
new file mode 100644
index 000000000..e7d5f4688
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2618.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2618.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02618ent IS
+END c13s03b01x00p02n01i02618ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02618arch OF c13s03b01x00p02n01i02618ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k)k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02618 - Identifier can not contain ')'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02618arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2619.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2619.vhd
new file mode 100644
index 000000000..2336f5db3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2619.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2619.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02619ent IS
+END c13s03b01x00p02n01i02619ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02619arch OF c13s03b01x00p02n01i02619ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k+k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02619 - Identifier can not contain '+'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02619arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2620.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2620.vhd
new file mode 100644
index 000000000..ac6ce4519
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2620.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2620.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02620ent IS
+END c13s03b01x00p02n01i02620ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02620arch OF c13s03b01x00p02n01i02620ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k~k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02620 - Identifier can not contain '~'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02620arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2621.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2621.vhd
new file mode 100644
index 000000000..f1f93ff06
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2621.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2621.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02621ent IS
+END c13s03b01x00p02n01i02621ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02621arch OF c13s03b01x00p02n01i02621ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k-k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02621 - Identifier can not contain '-'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02621arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2622.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2622.vhd
new file mode 100644
index 000000000..8deffba8f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2622.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2622.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02622ent IS
+END c13s03b01x00p02n01i02622ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02622arch OF c13s03b01x00p02n01i02622ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k=k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02622 - Identifier can not contain '='."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02622arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2623.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2623.vhd
new file mode 100644
index 000000000..73db20fd9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2623.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2623.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02623ent IS
+END c13s03b01x00p02n01i02623ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02623arch OF c13s03b01x00p02n01i02623ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k`k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02623 - Identifier can not contain '`'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02623arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2624.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2624.vhd
new file mode 100644
index 000000000..a8edf358e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2624.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2624.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02624ent IS
+END c13s03b01x00p02n01i02624ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02624arch OF c13s03b01x00p02n01i02624ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k{k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02624 - Identifier can not contain '{'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02624arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2625.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2625.vhd
new file mode 100644
index 000000000..26cb79dda
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2625.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2625.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02625ent IS
+END c13s03b01x00p02n01i02625ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02625arch OF c13s03b01x00p02n01i02625ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k}k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02625 - Identifier can not contain '}'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02625arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2626.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2626.vhd
new file mode 100644
index 000000000..00836a219
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2626.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2626.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02626ent IS
+END c13s03b01x00p02n01i02626ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02626arch OF c13s03b01x00p02n01i02626ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k[k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02626 - Identifier can not contain '['."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02626arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2627.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2627.vhd
new file mode 100644
index 000000000..234fd03b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2627.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2627.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02627ent IS
+END c13s03b01x00p02n01i02627ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02627arch OF c13s03b01x00p02n01i02627ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k]k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02627 - Identifier can not contain ']'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02627arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2628.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2628.vhd
new file mode 100644
index 000000000..282102c0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2628.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2628.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02628ent IS
+END c13s03b01x00p02n01i02628ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02628arch OF c13s03b01x00p02n01i02628ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k;k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02628 - Identifier can not contain ';'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02628arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2629.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2629.vhd
new file mode 100644
index 000000000..5ffc2d255
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2629.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2629.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02629ent IS
+END c13s03b01x00p02n01i02629ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02629arch OF c13s03b01x00p02n01i02629ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k'k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02629 - Identifier can not contain '''."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02629arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2630.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2630.vhd
new file mode 100644
index 000000000..0f4bb9f57
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2630.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2630.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02630ent IS
+END c13s03b01x00p02n01i02630ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02630arch OF c13s03b01x00p02n01i02630ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k:k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02630 - Identifier can not contain ':'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02630arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2631.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2631.vhd
new file mode 100644
index 000000000..099a89339
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2631.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2631.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02631ent IS
+END c13s03b01x00p02n01i02631ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02631arch OF c13s03b01x00p02n01i02631ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k"k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02631 - Identifier can not contain '"'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02631arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2632.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2632.vhd
new file mode 100644
index 000000000..88c9bc9b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2632.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2632.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02632ent IS
+END c13s03b01x00p02n01i02632ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02632arch OF c13s03b01x00p02n01i02632ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k,k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02632 - Identifier can not contain ','."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02632arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2633.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2633.vhd
new file mode 100644
index 000000000..b3ed2ccea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2633.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2633.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02633ent IS
+END c13s03b01x00p02n01i02633ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02633arch OF c13s03b01x00p02n01i02633ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k.k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02633 - Identifier can not contain '.'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02633arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2634.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2634.vhd
new file mode 100644
index 000000000..080d4b21f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2634.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2634.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02634ent IS
+END c13s03b01x00p02n01i02634ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02634arch OF c13s03b01x00p02n01i02634ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k/k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02634 - Identifier can not contain '/'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02634arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2635.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2635.vhd
new file mode 100644
index 000000000..1b1e850b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2635.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2635.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02635ent IS
+END c13s03b01x00p02n01i02635ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02635arch OF c13s03b01x00p02n01i02635ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k<k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02635 - Identifier can not contain '<'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02635arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2636.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2636.vhd
new file mode 100644
index 000000000..775ff1caf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2636.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2636.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02636ent IS
+END c13s03b01x00p02n01i02636ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02636arch OF c13s03b01x00p02n01i02636ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k>k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02636 - Identifier can not contain '>'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02636arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2637.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2637.vhd
new file mode 100644
index 000000000..bcca3c021
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2637.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2637.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02637ent IS
+END c13s03b01x00p02n01i02637ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02637arch OF c13s03b01x00p02n01i02637ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k?k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02637 - Identifier can not contain '?'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02637arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2638.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2638.vhd
new file mode 100644
index 000000000..85442440a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2638.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2638.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02638ent IS
+END c13s03b01x00p02n01i02638ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02638arch OF c13s03b01x00p02n01i02638ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k\k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02638 - Identifier can not contain '\'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02638arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2639.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2639.vhd
new file mode 100644
index 000000000..24f90e3f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2639.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2639.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02639ent IS
+END c13s03b01x00p02n01i02639ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02639arch OF c13s03b01x00p02n01i02639ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k|k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02639 - Identifier can not contain '|'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02639arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2640.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2640.vhd
new file mode 100644
index 000000000..ffbe0062f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2640.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2640.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02640ent IS
+END c13s03b01x00p02n01i02640ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02640arch OF c13s03b01x00p02n01i02640ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02640 - Identifier can not contain ' '."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02640arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2641.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2641.vhd
new file mode 100644
index 000000000..c8c5046dd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2641.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2641.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02641ent IS
+END c13s03b01x00p02n01i02641ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02641arch OF c13s03b01x00p02n01i02641ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k__k : integer := 0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02641 - Identifier can not contain '__'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02641arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2644.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2644.vhd
new file mode 100644
index 000000000..9f6b3df10
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2644.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2644.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02644ent IS
+END c13s03b01x00p02n01i02644ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02644arch OF c13s03b01x00p02n01i02644ent IS
+ -- ERROR: name of the constant contains 2 consecutive underlines
+ constant te__st: character:='A'; --failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02644 - Consecutive underlines are not allowed in an identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02644arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2645.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2645.vhd
new file mode 100644
index 000000000..3cd42dbca
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2645.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2645.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02645ent IS
+END c13s03b01x00p02n01i02645ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02645arch OF c13s03b01x00p02n01i02645ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable #k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02645 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02645arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2646.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2646.vhd
new file mode 100644
index 000000000..5569675d6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2646.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2646.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02646ent IS
+END c13s03b01x00p02n01i02646ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02646arch OF c13s03b01x00p02n01i02646ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable &k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02646 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02646arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2647.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2647.vhd
new file mode 100644
index 000000000..7fc8860c6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2647.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2647.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02647ent IS
+END c13s03b01x00p02n01i02647ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02647arch OF c13s03b01x00p02n01i02647ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable 'k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02647 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02647arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2648.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2648.vhd
new file mode 100644
index 000000000..855e41574
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2648.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2648.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02648ent IS
+END c13s03b01x00p02n01i02648ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02648arch OF c13s03b01x00p02n01i02648ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable (k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02648 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02648arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2649.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2649.vhd
new file mode 100644
index 000000000..ffd94fe1a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2649.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2649.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02649ent IS
+END c13s03b01x00p02n01i02649ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02649arch OF c13s03b01x00p02n01i02649ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable )k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02649 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02649arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2650.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2650.vhd
new file mode 100644
index 000000000..1df1de2d0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2650.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2650.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02650ent IS
+END c13s03b01x00p02n01i02650ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02650arch OF c13s03b01x00p02n01i02650ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable *k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02650 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02650arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2651.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2651.vhd
new file mode 100644
index 000000000..c4c74fa2c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2651.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2651.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02651ent IS
+END c13s03b01x00p02n01i02651ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02651arch OF c13s03b01x00p02n01i02651ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable +k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02651 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02651arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2652.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2652.vhd
new file mode 100644
index 000000000..9913c3cdc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2652.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2652.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02652ent IS
+END c13s03b01x00p02n01i02652ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02652arch OF c13s03b01x00p02n01i02652ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable -k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02652 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02652arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2653.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2653.vhd
new file mode 100644
index 000000000..eafbdd36e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2653.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2653.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02653ent IS
+END c13s03b01x00p02n01i02653ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02653arch OF c13s03b01x00p02n01i02653ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable .k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02653 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02653arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2654.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2654.vhd
new file mode 100644
index 000000000..33e026003
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2654.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2654.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02654ent IS
+END c13s03b01x00p02n01i02654ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02654arch OF c13s03b01x00p02n01i02654ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable /k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02654 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02654arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2655.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2655.vhd
new file mode 100644
index 000000000..a2d6a355c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2655.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2655.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02655ent IS
+END c13s03b01x00p02n01i02655ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02655arch OF c13s03b01x00p02n01i02655ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable :k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02655d - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02655arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2656.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2656.vhd
new file mode 100644
index 000000000..ed38a00ce
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2656.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2656.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02656ent IS
+END c13s03b01x00p02n01i02656ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02656arch OF c13s03b01x00p02n01i02656ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable ;k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02656 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02656arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2657.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2657.vhd
new file mode 100644
index 000000000..93435f340
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2657.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2657.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02657ent IS
+END c13s03b01x00p02n01i02657ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02657arch OF c13s03b01x00p02n01i02657ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable <k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02657 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02657arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2658.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2658.vhd
new file mode 100644
index 000000000..d073dd983
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2658.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2658.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02658ent IS
+END c13s03b01x00p02n01i02658ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02658arch OF c13s03b01x00p02n01i02658ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable =k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02658 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02658arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2659.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2659.vhd
new file mode 100644
index 000000000..a326b6ccb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2659.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2659.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02659ent IS
+END c13s03b01x00p02n01i02659ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02659arch OF c13s03b01x00p02n01i02659ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable >k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02659 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02659arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc266.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc266.vhd
new file mode 100644
index 000000000..e57996d80
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc266.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc266.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p02n01i00266ent IS
+END c03s01b03x00p02n01i00266ent;
+
+ARCHITECTURE c03s01b03x00p02n01i00266arch OF c03s01b03x00p02n01i00266ent IS
+ type UPLE is
+ units -- Failure_here
+ -- ERROR - SYNTAX ERROR: PHYSICAL TYPE DEFINITION MUST HAVE RANGE CONSTRAINT
+ single;
+ double = 2 single;
+ triple = 3 single;
+ quadruple = 2 double;
+ pentuple = 5 single;
+ sextuple = 2 triple;
+ septuple = 7 single;
+ octuple = 2 quadruple;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p02n01i00266 - Physical type definition must have range constraint."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p02n01i00266arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2660.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2660.vhd
new file mode 100644
index 000000000..d31a50c38
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2660.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2660.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02660ent IS
+END c13s03b01x00p02n01i02660ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02660arch OF c13s03b01x00p02n01i02660ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable _k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02660 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02660arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2661.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2661.vhd
new file mode 100644
index 000000000..103774392
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2661.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2661.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02661ent IS
+END c13s03b01x00p02n01i02661ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02661arch OF c13s03b01x00p02n01i02661ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable |k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02661 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02661arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2662.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2662.vhd
new file mode 100644
index 000000000..0ed769b5a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2662.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2662.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02662ent IS
+END c13s03b01x00p02n01i02662ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02662arch OF c13s03b01x00p02n01i02662ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable !k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02662 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02662arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2663.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2663.vhd
new file mode 100644
index 000000000..5d05ba2f5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2663.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2663.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02663ent IS
+END c13s03b01x00p02n01i02663ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02663arch OF c13s03b01x00p02n01i02663ent IS
+BEGIN
+ TESTING: PROCESS
+ variable $k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02663 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02663arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2664.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2664.vhd
new file mode 100644
index 000000000..57c6dc76b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2664.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2664.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02664ent IS
+END c13s03b01x00p02n01i02664ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02664arch OF c13s03b01x00p02n01i02664ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable %k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02664 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02664arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2665.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2665.vhd
new file mode 100644
index 000000000..507142e32
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2665.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2665.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02665ent IS
+END c13s03b01x00p02n01i02665ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02665arch OF c13s03b01x00p02n01i02665ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable @k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02665 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02665arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2666.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2666.vhd
new file mode 100644
index 000000000..40ad8c86f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2666.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2666.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02666ent IS
+END c13s03b01x00p02n01i02666ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02666arch OF c13s03b01x00p02n01i02666ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable ?k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02666 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02666arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2667.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2667.vhd
new file mode 100644
index 000000000..632fa1410
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2667.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2667.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02667ent IS
+END c13s03b01x00p02n01i02667ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02667arch OF c13s03b01x00p02n01i02667ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable [k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02667 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02667arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2668.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2668.vhd
new file mode 100644
index 000000000..893fb016d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2668.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2668.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02668ent IS
+END c13s03b01x00p02n01i02668ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02668arch OF c13s03b01x00p02n01i02668ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable \k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02668 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02668arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2669.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2669.vhd
new file mode 100644
index 000000000..39ee538ab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2669.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2669.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02669ent IS
+END c13s03b01x00p02n01i02669ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02669arch OF c13s03b01x00p02n01i02669ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable ]k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02669 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02669arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc267.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc267.vhd
new file mode 100644
index 000000000..daa04563f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc267.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc267.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p02n01i00267ent IS
+END c03s01b03x00p02n01i00267ent;
+
+ARCHITECTURE c03s01b03x00p02n01i00267arch OF c03s01b03x00p02n01i00267ent IS
+ type UPLE is range 1 to 8
+ units
+ single = 1 single; -- Failure_here
+ -- ERROR - SYNTAX ERROR: BASE UNIT DECLARATION MISSING
+ double = 2 single;
+ triple = 3 single;
+ quadruple = 2 double;
+ pentuple = 5 single;
+ sextuple = 2 triple;
+ septuple = 7 single;
+ octuple = 2 quadruple;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p02n01i00267 - Physical type declaration must have a base unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p02n01i00267arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2670.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2670.vhd
new file mode 100644
index 000000000..06ce73805
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2670.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2670.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02670ent IS
+END c13s03b01x00p02n01i02670ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02670arch OF c13s03b01x00p02n01i02670ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable ^k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02670 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02670arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2671.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2671.vhd
new file mode 100644
index 000000000..5dfe14832
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2671.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2671.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02671ent IS
+END c13s03b01x00p02n01i02671ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02671arch OF c13s03b01x00p02n01i02671ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable `k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02671 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02671arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2672.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2672.vhd
new file mode 100644
index 000000000..bb14e4611
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2672.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2672.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02672ent IS
+END c13s03b01x00p02n01i02672ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02672arch OF c13s03b01x00p02n01i02672ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable {k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02672 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s03b01x00p02n01i02672arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2673.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2673.vhd
new file mode 100644
index 000000000..738ecf462
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2673.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2673.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02673ent IS
+END c13s03b01x00p02n01i02673ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02673arch OF c13s03b01x00p02n01i02673ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable }k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02673 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02673arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2674.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2674.vhd
new file mode 100644
index 000000000..ed48c0d6e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2674.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2674.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p02n01i02674ent IS
+END c13s03b01x00p02n01i02674ent;
+
+ARCHITECTURE c13s03b01x00p02n01i02674arch OF c13s03b01x00p02n01i02674ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable ~k : integer;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p02n01i02674 - Identifier can only begin with a letter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p02n01i02674arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2678.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2678.vhd
new file mode 100644
index 000000000..bb14dc1d0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2678.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2678.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s03b01x00p05n02i02678ent IS
+END c13s03b01x00p05n02i02678ent;
+
+ARCHITECTURE c13s03b01x00p05n02i02678arch OF c13s03b01x00p05n02i02678ent IS
+ constant UPPER_CASE : integer := 27;
+ -- ERROR: double declaration due to case insensitivity;
+ signal upper_case:integer; -- failure_here.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s03b01x00p05n02i02678 - Identifiers differing only in the use of corresponding upper and lower case letters are considered as the same."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s03b01x00p05n02i02678arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc268.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc268.vhd
new file mode 100644
index 000000000..1ea1adfcf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc268.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc268.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p02n01i00268ent IS
+END c03s01b03x00p02n01i00268ent;
+
+ARCHITECTURE c03s01b03x00p02n01i00268arch OF c03s01b03x00p02n01i00268ent IS
+ type UPLE is range 1 to 8
+ units
+ single;
+ double; -- Failure_here
+ -- SYNTAX ERROR: MORE THAN ONE BASE UNIT DECLARATION
+ triple = 3 single;
+ quadruple = 2 double;
+ pentuple = 5 single;
+ sextuple = 2 triple;
+ septuple = 7 single;
+ octuple = 2 quadruple;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p02n01i00268 - Only one base type declaration allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p02n01i00268arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2680.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2680.vhd
new file mode 100644
index 000000000..7a4184e50
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2680.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2680.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02680ent IS
+ --ERROR: underline cannot lead an integer literal
+ constant a:integer:=_1234; -- failure_here
+END c13s04b01x00p02n01i02680ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02680arch OF c13s04b01x00p02n01i02680ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02680 - Decimal literal can only begin with an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02680arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2681.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2681.vhd
new file mode 100644
index 000000000..99815749a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2681.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2681.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02681ent IS
+ --ERROR: underline cannot lead a real literal
+ constant a:real:=_1234.5678; -- failure_here
+END c13s04b01x00p02n01i02681ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02681arch OF c13s04b01x00p02n01i02681ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02681 - Decimal literal can only begin with an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02681arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2682.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2682.vhd
new file mode 100644
index 000000000..be9de7090
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2682.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2682.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02682ent IS
+ --ERROR: leading decimal point not allowed
+ constant A1: REAL:=.12; -- failure_here
+END c13s04b01x00p02n01i02682ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02682arch OF c13s04b01x00p02n01i02682ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02682 - Decimal literal can only begin with an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02682arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2683.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2683.vhd
new file mode 100644
index 000000000..70c821b19
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2683.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2683.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02683ent IS
+ --ERROR: trailing decimal point not allowed
+ constant A1: REAL:=12.; -- failure_here
+END c13s04b01x00p02n01i02683ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02683arch OF c13s04b01x00p02n01i02683ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02683 - Integer should follow the dot in a real integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02683arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2684.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2684.vhd
new file mode 100644
index 000000000..278a3ca82
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2684.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2684.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02684ent IS
+ --ERROR: underline cannot be adjacent to a decimal point
+ constant b:real:=2._34; -- failure_here
+END c13s04b01x00p02n01i02684ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02684arch OF c13s04b01x00p02n01i02684ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02684 - Only integer follows the dot in a real literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02684arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2685.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2685.vhd
new file mode 100644
index 000000000..a4577fe26
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2685.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2685.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02685ent IS
+ --ERROR: underline cannot be adjacent on the left to 'E' in an integer literal
+ constant a:integer:=1234_E2; -- failure_here
+END c13s04b01x00p02n01i02685ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02685arch OF c13s04b01x00p02n01i02685ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02685 - Only integer can be to the left of the exponent in a decimal literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02685arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2686.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2686.vhd
new file mode 100644
index 000000000..e433fe2eb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2686.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2686.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02686ent IS
+ --ERROR: only 1 decimal point allowed in real literal
+ constant A1: REAL:=3.5.7; -- failure_here
+END c13s04b01x00p02n01i02686ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02686arch OF c13s04b01x00p02n01i02686ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02686 - Two decimal points are not permitted in real literals."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02686arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2687.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2687.vhd
new file mode 100644
index 000000000..c86d46a19
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2687.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2687.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02687ent IS
+ --ERROR: only 1 decimal point allowed in real literal
+ constant A1: REAL:=3..57; -- failure_here
+END c13s04b01x00p02n01i02687ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02687arch OF c13s04b01x00p02n01i02687ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02687 - Two decimal points are not permitted in real literals."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02687arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2688.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2688.vhd
new file mode 100644
index 000000000..a766d6b76
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2688.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2688.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02688ent IS
+ constant n: real := 45.3 E+11; -- failure_here
+END c13s04b01x00p02n01i02688ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02688arch OF c13s04b01x00p02n01i02688ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02688 - No space is allowed in literals."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02688arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2689.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2689.vhd
new file mode 100644
index 000000000..1a3ed1ab6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2689.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2689.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p02n01i02689ent IS
+ --ERROR: no space is allowed in an integer literal
+ constant a:integer:=54 321; -- failure_here
+END c13s04b01x00p02n01i02689ent;
+
+ARCHITECTURE c13s04b01x00p02n01i02689arch OF c13s04b01x00p02n01i02689ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p02n01i02689 - No space is allowed in literals."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p02n01i02689arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc269.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc269.vhd
new file mode 100644
index 000000000..04aa59141
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc269.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc269.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p03n01i00269ent IS
+END c03s01b03x00p03n01i00269ent;
+
+ARCHITECTURE c03s01b03x00p03n01i00269arch OF c03s01b03x00p03n01i00269ent IS
+ type T is
+ range 1 to 100
+ units
+ I -- failure_here
+ J = 2 I;
+ K = 2 J;
+ L = 10 K;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p03n01i00269 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p03n01i00269arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2691.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2691.vhd
new file mode 100644
index 000000000..f05832bec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2691.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2691.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p03n01i02691ent IS
+ --ERROR: underline cannot trail an integer literal
+ constant a:integer:=567_; -- failure_here
+END c13s04b01x00p03n01i02691ent;
+
+ARCHITECTURE c13s04b01x00p03n01i02691arch OF c13s04b01x00p03n01i02691ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p03n01i02691 - Underlines cannot trail a decimal literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p03n01i02691arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2692.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2692.vhd
new file mode 100644
index 000000000..438c725f9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2692.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2692.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p04n01i02692ent IS
+ --ERROR: only 1 (+) in exponent allowed in real literal
+ constant A1: REAL:=123E--45; -- failure_here
+END c13s04b01x00p04n01i02692ent;
+
+ARCHITECTURE c13s04b01x00p04n01i02692arch OF c13s04b01x00p04n01i02692ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p04n01i02692 - Exponents of decimal literals can have a single plus or minus (optional)."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p04n01i02692arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2693.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2693.vhd
new file mode 100644
index 000000000..ae1733bc4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2693.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2693.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p04n01i02693ent IS
+ --ERROR: only 1 (+) in exponent allowed in real literal
+ constant A1: REAL:=123E++45; -- failure_here
+END c13s04b01x00p04n01i02693ent;
+
+ARCHITECTURE c13s04b01x00p04n01i02693arch OF c13s04b01x00p04n01i02693ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p04n01i02693 - Exponents of decimal literals can have a single plus or minus (optional)."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p04n01i02693arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2694.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2694.vhd
new file mode 100644
index 000000000..9d9dd2367
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2694.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2694.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p04n01i02694ent IS
+ --ERROR: underline cannot be adjacent on the right to 'E' in an integer literal
+ constant a:integer:=1234E_2; -- failure_here
+END c13s04b01x00p04n01i02694ent;
+
+ARCHITECTURE c13s04b01x00p04n01i02694arch OF c13s04b01x00p04n01i02694ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p04n01i02694 - Exponents of decimal literals can have a single plus or minus following the E (optional)."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p04n01i02694arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2695.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2695.vhd
new file mode 100644
index 000000000..7eca9b44a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2695.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2695.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p04n01i02695ent IS
+ --ERROR: only integer exponent allowed in real literal
+ constant A1: REAL:=123E4.5; -- failure_here
+END c13s04b01x00p04n01i02695ent;
+
+ARCHITECTURE c13s04b01x00p04n01i02695arch OF c13s04b01x00p04n01i02695ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p04n01i02695 - Only integer exponents are legal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p04n01i02695arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2696.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2696.vhd
new file mode 100644
index 000000000..af9dd6ae9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2696.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2696.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p04n01i02696ent IS
+ --ERROR: No extended digit is allowed in the exponent
+ constant a:integer:=16#54321#A; -- failure_here
+END c13s04b01x00p04n01i02696ent;
+
+ARCHITECTURE c13s04b01x00p04n01i02696arch OF c13s04b01x00p04n01i02696ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p04n01i02696 - Only integer exponents are legal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p04n01i02696arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc270.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc270.vhd
new file mode 100644
index 000000000..a15a53786
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc270.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc270.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p05n01i00270ent IS
+END c03s01b03x00p05n01i00270ent;
+
+ARCHITECTURE c03s01b03x00p05n01i00270arch OF c03s01b03x00p05n01i00270ent IS
+ type T is
+ range 1 to 100
+ units
+ I ;
+ J = 2 I;
+ K = 2 P; -- Failure_here
+ L = 10 K;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p05n01i00270 - Improper unit name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p05n01i00270arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2706.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2706.vhd
new file mode 100644
index 000000000..966ae37fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2706.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2706.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b01x00p06n02i02706ent IS
+END c13s04b01x00p06n02i02706ent;
+
+ARCHITECTURE c13s04b01x00p06n02i02706arch OF c13s04b01x00p06n02i02706ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable total_time : integer;
+ BEGIN
+ total_time := 123234e-3; -- failure_here
+ assert FALSE
+ report "***FAILED TEST: c13s04b01x00p06n02i02706 - An integer literal may not have a negative exponent."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b01x00p06n02i02706arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc271.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc271.vhd
new file mode 100644
index 000000000..96bb6b5c2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc271.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc271.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p06n01i00271ent IS
+END c03s01b03x00p06n01i00271ent;
+
+ARCHITECTURE c03s01b03x00p06n01i00271arch OF c03s01b03x00p06n01i00271ent IS
+ type GLORIA is range 1 to 6
+ units
+ PRIM;
+ SEC1 = 6 PRIM;
+ SEC2 = 36 SEC1; -- Failure_here
+ -- ERROR - SEMANTICS ERROR: Position Number of sec2 exceeds
+ -- range of physical type
+ end units;
+BEGIN
+ TESTING: PROCESS
+ variable temp : GLORIA := 10 PRIM;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p06n01i00271 - Position number exceeds range of physical type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p06n01i00271arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2714.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2714.vhd
new file mode 100644
index 000000000..e4e712f7b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2714.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2714.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p01n01i02714ent IS
+END c13s04b02x00p01n01i02714ent;
+
+ARCHITECTURE c13s04b02x00p01n01i02714arch OF c13s04b02x00p01n01i02714ent IS
+BEGIN
+ TESTING: PROCESS
+ variable total_time : real;
+ BEGIN
+ total_time := 17#FF.FF#; -- failure_here
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p01n01i02714 - The base of a based literal must be less than 16."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p01n01i02714arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2715.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2715.vhd
new file mode 100644
index 000000000..0de0919d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2715.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2715.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p01n01i02715ent IS
+END c13s04b02x00p01n01i02715ent;
+
+ARCHITECTURE c13s04b02x00p01n01i02715arch OF c13s04b02x00p01n01i02715ent IS
+BEGIN
+ TESTING: PROCESS
+ variable I : INTEGER;
+ variable R : REAL;
+ BEGIN
+ I := 0#121#E2; -- ERROR : invalid base
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p01n01i02715 - No base less than '2' or greater than '16' is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p01n01i02715arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2716.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2716.vhd
new file mode 100644
index 000000000..b566e57f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2716.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2716.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p01n01i02716ent IS
+END c13s04b02x00p01n01i02716ent;
+
+ARCHITECTURE c13s04b02x00p01n01i02716arch OF c13s04b02x00p01n01i02716ent IS
+BEGIN
+ TESTING: PROCESS
+ variable I : INTEGER;
+ variable R : REAL;
+ BEGIN
+ I := 1#0000#; -- ERROR : invalid base
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p01n01i02716 - No base less than '2' or greater than '16' is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p01n01i02716arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2717.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2717.vhd
new file mode 100644
index 000000000..efaec0760
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2717.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2717.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p02n01i02717ent IS
+END c13s04b02x00p02n01i02717ent;
+
+ARCHITECTURE c13s04b02x00p02n01i02717arch OF c13s04b02x00p02n01i02717ent IS
+ constant T2 : Real := 5#1234.4321 ; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p02n01i02717 - Missing sharp."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p02n01i02717arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2720.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2720.vhd
new file mode 100644
index 000000000..bca57cc5e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2720.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2720.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p03n01i02720ent IS
+ --ERROR: No extended digit is allowed in the base
+ constant a:integer:=A#54321#; -- failure_here
+END c13s04b02x00p03n01i02720ent;
+
+ARCHITECTURE c13s04b02x00p03n01i02720arch OF c13s04b02x00p03n01i02720ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p03n01i02720 - Base of a based literal can only be an integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p03n01i02720arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2721.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2721.vhd
new file mode 100644
index 000000000..21eedd9e3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2721.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2721.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p04n01i02721ent IS
+END c13s04b02x00p04n01i02721ent;
+
+ARCHITECTURE c13s04b02x00p04n01i02721arch OF c13s04b02x00p04n01i02721ent IS
+ constant T3 : Integer := 2#1111_11__1111# ; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p04n01i02721 - Consecutive underlines are not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p04n01i02721arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2723.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2723.vhd
new file mode 100644
index 000000000..d081a4f9f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2723.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2723.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p06n03i02723ent IS
+ -- ERROR: r is not a valid hex digit
+ constant m: integer := 16#Fr#; -- failure_here
+END c13s04b02x00p06n03i02723ent;
+
+ARCHITECTURE c13s04b02x00p06n03i02723arch OF c13s04b02x00p06n03i02723ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p06n03i02723 - The only letters allowed as extended digits are the letters A through F."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p06n03i02723arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2727.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2727.vhd
new file mode 100644
index 000000000..a11a227e7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2727.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2727.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s04b02x00p07n01i02727ent IS
+END c13s04b02x00p07n01i02727ent;
+
+ARCHITECTURE c13s04b02x00p07n01i02727arch OF c13s04b02x00p07n01i02727ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable total_time : real;
+ BEGIN
+ total_time := 6#6589.55#; --Failure_here
+ assert FALSE
+ report "***FAILED TEST: c13s04b02x00p07n01i02727 - The value of each digit in a based literal must be less than that of the base."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s04b02x00p07n01i02727arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2728.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2728.vhd
new file mode 100644
index 000000000..912f35093
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2728.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2728.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s05b00x00p01n01i02728ent IS
+END c13s05b00x00p01n01i02728ent;
+
+ARCHITECTURE c13s05b00x00p01n01i02728arch OF c13s05b00x00p01n01i02728ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : character;
+ BEGIN
+ k := '';
+ assert FALSE
+ report "***FAILED TEST: c13s05b00x00p01n01i02728 - A character literal may not be empty."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s05b00x00p01n01i02728arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2729.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2729.vhd
new file mode 100644
index 000000000..73bff33cd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2729.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2729.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s05b00x00p01n01i02729ent IS
+END c13s05b00x00p01n01i02729ent;
+
+ARCHITECTURE c13s05b00x00p01n01i02729arch OF c13s05b00x00p01n01i02729ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : character;
+ BEGIN
+ k := 'aa';
+ assert FALSE
+ report "***FAILED TEST: c13s05b00x00p01n01i02729 - A character literal must have only one character between quotes."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s05b00x00p01n01i02729arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc273.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc273.vhd
new file mode 100644
index 000000000..f0ce5f675
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc273.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc273.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p07n01i00273ent IS
+END c03s01b03x00p07n01i00273ent;
+
+ARCHITECTURE c03s01b03x00p07n01i00273arch OF c03s01b03x00p07n01i00273ent IS
+ type UPLE is range 1.0 to 9.0 -- Failure_here
+ -- ERROR - SEMANTIC ERROR: PHYSICAL TYPE DEFINITION RANGE CONSTRAINT
+ -- MUST BE OF INTEGER TYPE
+ units
+ single;
+ double = 2 single;
+ triple = 3 single;
+ quadruple = 2 double;
+ pentuple = 5 single;
+ sextuple = 2 triple;
+ septuple = 7 single;
+ octuple = 2 quadruple;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p07n01i00273 - Physical type definition range constraint must be constant."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p07n01i00273arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2730.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2730.vhd
new file mode 100644
index 000000000..b3ceaaecb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2730.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2730.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s05b00x00p01n01i02730ent IS
+END c13s05b00x00p01n01i02730ent;
+
+ARCHITECTURE c13s05b00x00p01n01i02730arch OF c13s05b00x00p01n01i02730ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : character;
+ BEGIN
+ k := ' ';
+ assert FALSE
+ report "***FAILED TEST: c13s05b00x00p01n01i02730 - Double space is not allowed in a character literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s05b00x00p01n01i02730arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2731.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2731.vhd
new file mode 100644
index 000000000..3af28ea65
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2731.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2731.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s05b00x00p01n01i02731ent IS
+END c13s05b00x00p01n01i02731ent;
+
+ARCHITECTURE c13s05b00x00p01n01i02731arch OF c13s05b00x00p01n01i02731ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : character;
+ BEGIN
+ k := '';
+ assert FALSE
+ report "***FAILED TEST: c13s05b00x00p01n01i02731 - ^A can not be used as a character literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s05b00x00p01n01i02731arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2732.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2732.vhd
new file mode 100644
index 000000000..40b4648ec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2732.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2732.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s05b00x00p01n01i02732ent IS
+END c13s05b00x00p01n01i02732ent;
+
+ARCHITECTURE c13s05b00x00p01n01i02732arch OF c13s05b00x00p01n01i02732ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : character;
+ BEGIN
+ k := '';
+ assert FALSE
+ report "***FAILED TEST: c13s05b00x00p01n01i02732 - ^B can not be used as a character literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s05b00x00p01n01i02732arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc274.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc274.vhd
new file mode 100644
index 000000000..d96a84b6c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc274.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc274.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p07n01i00274ent IS
+END c03s01b03x00p07n01i00274ent;
+
+ARCHITECTURE c03s01b03x00p07n01i00274arch OF c03s01b03x00p07n01i00274ent IS
+ type J is
+ range 0.0 to 100.0 -- Failure_here
+ units
+ A;
+ B = 10 A;
+ C = 10 B;
+ D = 10 C;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p07n01i00274 - The bounds in the range constraint are not locally static expressions of type integer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p07n01i00274arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2741.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2741.vhd
new file mode 100644
index 000000000..39fe9c9fe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2741.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2741.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s06b00x00p03n02i02741ent IS
+ --ERROR: need 2 quotation characters as input for a string literal
+ constant c: string:="""; -- failure_here
+END c13s06b00x00p03n02i02741ent;
+
+ARCHITECTURE c13s06b00x00p03n02i02741arch OF c13s06b00x00p03n02i02741ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s06b00x00p03n02i02741 - Single quotation mark cannot be a string literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s06b00x00p03n02i02741arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2746.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2746.vhd
new file mode 100644
index 000000000..6dbb797b9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2746.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2746.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p02n01i02746ent IS
+END c13s07b00x00p02n01i02746ent;
+
+ARCHITECTURE c13s07b00x00p02n01i02746arch OF c13s07b00x00p02n01i02746ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable k : bit_vector(0 to 7) := b'0''1''0''1''0''1''0''1';
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p02n01i02746 - Bit value should be enclosed between two quotation."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p02n01i02746arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2748.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2748.vhd
new file mode 100644
index 000000000..f86580c2e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2748.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2748.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p02n01i02748ent IS
+END c13s07b00x00p02n01i02748ent;
+
+ARCHITECTURE c13s07b00x00p02n01i02748arch OF c13s07b00x00p02n01i02748ent IS
+ type arr is array (1 to 5) of bit;
+ constant C1 : arr := "00_1_11"; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p02n01i02748 - Missing Base specifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p02n01i02748arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2749.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2749.vhd
new file mode 100644
index 000000000..4580be914
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2749.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2749.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02749ent IS
+END c13s07b00x00p03n01i02749ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02749arch OF c13s07b00x00p03n01i02749ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"_0101_0101";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02749 - Leading underscores are not allowed in bit values."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02749arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc275.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc275.vhd
new file mode 100644
index 000000000..b80748a50
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc275.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc275.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p07n01i00275ent IS
+END c03s01b03x00p07n01i00275ent;
+
+ARCHITECTURE c03s01b03x00p07n01i00275arch OF c03s01b03x00p07n01i00275ent IS
+ type twos_complement_integer1 is range -32768 to 0;
+ type twos_complement_integer2 is range 0 to 32767;
+ type J is
+ range twos_complement_integer1 to twos_complement_integer2
+ units -- Failure_here
+ A;
+ B = 10 A;
+ C = 10 B;
+ D = 10 C;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p07n01i00275 - The bounds in the range constraint are not locally static expressions."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p07n01i00275arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2750.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2750.vhd
new file mode 100644
index 000000000..c42683dd8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2750.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2750.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02750ent IS
+END c13s07b00x00p03n01i02750ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02750arch OF c13s07b00x00p03n01i02750ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"0101__0101";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02750 - Consecutive underscores are not allowed in bit values."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02750arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2751.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2751.vhd
new file mode 100644
index 000000000..743a50373
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2751.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2751.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02751ent IS
+END c13s07b00x00p03n01i02751ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02751arch OF c13s07b00x00p03n01i02751ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"0101_0101_";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02751 - Trailing underscores are not allowed in bit values."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02751arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2752.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2752.vhd
new file mode 100644
index 000000000..3e990c01b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2752.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2752.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02752ent IS
+END c13s07b00x00p03n01i02752ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02752arch OF c13s07b00x00p03n01i02752ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02752 - Bit string must contain at least one digit.(Test for base specifier of B)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02752arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2753.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2753.vhd
new file mode 100644
index 000000000..862de76e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2753.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2753.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02753ent IS
+END c13s07b00x00p03n01i02753ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02753arch OF c13s07b00x00p03n01i02753ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := o"";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02753 - Bit string must contain at least one digit.(Test for base specifier of O)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02753arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2754.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2754.vhd
new file mode 100644
index 000000000..040fada03
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2754.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2754.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02754ent IS
+END c13s07b00x00p03n01i02754ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02754arch OF c13s07b00x00p03n01i02754ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := x"";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02754 - Bit string must contain at least one digit.(Test for base specifier of X)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02754arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2755.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2755.vhd
new file mode 100644
index 000000000..5054ed95f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2755.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2755.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02755ent IS
+END c13s07b00x00p03n01i02755ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02755arch OF c13s07b00x00p03n01i02755ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"0101 0101";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02755 - Spaces are not allowed in bit string."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02755arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2756.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2756.vhd
new file mode 100644
index 000000000..64e599d1a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2756.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2756.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02756ent IS
+END c13s07b00x00p03n01i02756ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02756arch OF c13s07b00x00p03n01i02756ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"0101.0101";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02756 - Decimal points are not allowed in bit string."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02756arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2757.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2757.vhd
new file mode 100644
index 000000000..bbe654935
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2757.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2757.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p03n01i02757ent IS
+END c13s07b00x00p03n01i02757ent;
+
+ARCHITECTURE c13s07b00x00p03n01i02757arch OF c13s07b00x00p03n01i02757ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector (1 to 8) := b"'0''1''0''1''0''1''0''1'";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p03n01i02757 - Apostrophes are not allowed in bit string."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p03n01i02757arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2762.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2762.vhd
new file mode 100644
index 000000000..7a8572680
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2762.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2762.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p06n01i02762ent IS
+END c13s07b00x00p06n01i02762ent;
+
+ARCHITECTURE c13s07b00x00p06n01i02762arch OF c13s07b00x00p06n01i02762ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant clear : bit_vector := B"0010_1020";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p06n01i02762d - The extended digits in the bit value are restricted to 0 to 1 for the base specifier `B'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p06n01i02762arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2763.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2763.vhd
new file mode 100644
index 000000000..fd578f6e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2763.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2763.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p06n02i02763ent IS
+END c13s07b00x00p06n02i02763ent;
+
+ARCHITECTURE c13s07b00x00p06n02i02763arch OF c13s07b00x00p06n02i02763ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant empty ; bit_vector := O"058";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p06n02i02763 - For the base specifier `O', the extended digits are restricted to the digits 0 through 7."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p06n02i02763arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2764.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2764.vhd
new file mode 100644
index 000000000..dface5a74
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2764.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2764.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p06n03i02764ent IS
+END c13s07b00x00p06n03i02764ent;
+
+ARCHITECTURE c13s07b00x00p06n03i02764arch OF c13s07b00x00p06n03i02764ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant null_value : bit_vector := X"2AG";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p06n03i02764 - For the base specifier `X', the extended digits are restricted to '0' to 'F'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p06n03i02764arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2766.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2766.vhd
new file mode 100644
index 000000000..40999d82c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2766.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2766.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s07b00x00p07n01i02766ent IS
+END c13s07b00x00p07n01i02766ent;
+
+ARCHITECTURE c13s07b00x00p07n01i02766arch OF c13s07b00x00p07n01i02766ent IS
+ constant clear : bit_vector := B"100_113_101"; -- failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s07b00x00p07n01i02766 - Only bit values are allowed when the base specifier is B."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s07b00x00p07n01i02766arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2770.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2770.vhd
new file mode 100644
index 000000000..94aef9413
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2770.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2770.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s08b00x00p01n01i02770ent IS
+END c13s08b00x00p01n01i02770ent;
+
+ARCHITECTURE c13s08b00x00p01n01i02770arch OF c13s08b00x00p01n01i02770ent IS
+
+--This is an
+ error.
+--ERROR: COMMENTS MUST BE ON ONE LINE
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s08b00x00p01n01i02770 - Comments must be on one line."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s08b00x00p01n01i02770arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2772.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2772.vhd
new file mode 100644
index 000000000..c467db23b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2772.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2772.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ABS is
+end ABS;
+
+ENTITY c13s09b00x00p99n01i02772ent IS
+END c13s09b00x00p99n01i02772ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02772arch OF c13s09b00x00p99n01i02772ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02772 - Reserved word ABS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02772arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2773.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2773.vhd
new file mode 100644
index 000000000..ffe301621
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2773.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2773.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ACCESS is
+end ACCESS;
+
+ENTITY c13s09b00x00p99n01i02773ent IS
+END c13s09b00x00p99n01i02773ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02773arch OF c13s09b00x00p99n01i02773ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02773 - Reserved word ACCESS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02773arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2774.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2774.vhd
new file mode 100644
index 000000000..07e9854e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2774.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2774.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity AFTER is
+end AFTER;
+
+ENTITY c13s09b00x00p99n01i02774ent IS
+END c13s09b00x00p99n01i02774ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02774arch OF c13s09b00x00p99n01i02774ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02774 - Reserved word AFTER can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02774arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2775.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2775.vhd
new file mode 100644
index 000000000..e6df1e6d4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2775.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2775.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ALIAS is
+end ALIAS;
+
+ENTITY c13s09b00x00p99n01i02775ent IS
+END c13s09b00x00p99n01i02775ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02775arch OF c13s09b00x00p99n01i02775ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02775 - Reserved word ALIAS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02775arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2776.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2776.vhd
new file mode 100644
index 000000000..2ea0304e6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2776.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2776.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ALL is
+end ALL;
+
+ENTITY c13s09b00x00p99n01i02776ent IS
+END c13s09b00x00p99n01i02776ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02776arch OF c13s09b00x00p99n01i02776ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02776 - Reserved word ALL can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02776arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2777.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2777.vhd
new file mode 100644
index 000000000..5eda80ee6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2777.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2777.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity AND is
+end AND;
+
+ENTITY c13s09b00x00p99n01i02777ent IS
+END c13s09b00x00p99n01i02777ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02777arch OF c13s09b00x00p99n01i02777ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02777 - Reserved word AND can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02777arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2778.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2778.vhd
new file mode 100644
index 000000000..94aa9b8a6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2778.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2778.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ARCHITECTURE is
+end ARCHITECTURE;
+
+ENTITY c13s09b00x00p99n01i02778ent IS
+END c13s09b00x00p99n01i02778ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02778arch OF c13s09b00x00p99n01i02778ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02778 - Reserved word ARCHITECTURE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02778arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2779.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2779.vhd
new file mode 100644
index 000000000..0f3033aab
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2779.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2779.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ARRAY is
+end ARRAY;
+
+ENTITY c13s09b00x00p99n01i02779ent IS
+END c13s09b00x00p99n01i02779ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02779arch OF c13s09b00x00p99n01i02779ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02779 - Reserved word ARRAY can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02779_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2780.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2780.vhd
new file mode 100644
index 000000000..f496c0b05
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2780.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2780.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ASSERT is
+end ASSERT;
+
+ENTITY c13s09b00x00p99n01i02780ent IS
+END c13s09b00x00p99n01i02780ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02780arch OF c13s09b00x00p99n01i02780ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02780 - Reserved word ASSERT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02780arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2781.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2781.vhd
new file mode 100644
index 000000000..08127d3d0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2781.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2781.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ATTRIBUTE is
+end ATTRIBUTE;
+
+ENTITY c13s09b00x00p99n01i02781ent IS
+END c13s09b00x00p99n01i02781ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02781arch OF c13s09b00x00p99n01i02781ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02781 - Reserved word ATTRIBUTE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02781arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2782.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2782.vhd
new file mode 100644
index 000000000..6b210711c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2782.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2782.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity BEGIN is
+ end BEGIN;
+
+ ENTITY c13s09b00x00p99n01i02782ent IS
+ END c13s09b00x00p99n01i02782ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02782arch OF c13s09b00x00p99n01i02782ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02782 - Reserved word BEGIN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02782arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2783.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2783.vhd
new file mode 100644
index 000000000..ca03b0b88
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2783.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2783.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity BLOCK is
+ end BLOCK;
+
+ ENTITY c13s09b00x00p99n01i02783ent IS
+ END c13s09b00x00p99n01i02783ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02783arch OF c13s09b00x00p99n01i02783ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02783 - Reserved word BLOCK can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02783arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2784.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2784.vhd
new file mode 100644
index 000000000..2cac20c6b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2784.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2784.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity BODY is
+end BODY;
+
+ENTITY c13s09b00x00p99n01i02784ent IS
+END c13s09b00x00p99n01i02784ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02784arch OF c13s09b00x00p99n01i02784ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02784 - Reserved word BODY can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02784arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2785.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2785.vhd
new file mode 100644
index 000000000..02ab12924
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2785.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2785.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity BUFFER is
+end BUFFER;
+
+ENTITY c13s09b00x00p99n01i02785ent IS
+END c13s09b00x00p99n01i02785ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02785arch OF c13s09b00x00p99n01i02785ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02785 - Reserved word BUFFER can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02785arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2786.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2786.vhd
new file mode 100644
index 000000000..125de4193
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2786.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2786.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity BUS is
+end BUS;
+
+ENTITY c13s09b00x00p99n01i02786ent IS
+END c13s09b00x00p99n01i02786ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02786arch OF c13s09b00x00p99n01i02786ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02786 - Reserved word BUS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02786arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2787.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2787.vhd
new file mode 100644
index 000000000..de246c3ae
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2787.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2787.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity CASE is
+end CASE;
+
+ENTITY c13s09b00x00p99n01i02787ent IS
+END c13s09b00x00p99n01i02787ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02787arch OF c13s09b00x00p99n01i02787ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02787 - Reserved word CASE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02787arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2788.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2788.vhd
new file mode 100644
index 000000000..c8e1ba077
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2788.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2788.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity COMPONENT is
+ end COMPONENT;
+
+ ENTITY c13s09b00x00p99n01i02788ent IS
+ END c13s09b00x00p99n01i02788ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02788arch OF c13s09b00x00p99n01i02788ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02788 - Reserved word COMPONENT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02788arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2789.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2789.vhd
new file mode 100644
index 000000000..5cfa27a98
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2789.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2789.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity CONFIGURATION is
+end CONFIGURATION;
+
+ENTITY c13s09b00x00p99n01i02789ent IS
+END c13s09b00x00p99n01i02789ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02789arch OF c13s09b00x00p99n01i02789ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02789 - Reserved word CONFIGURATION can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02789arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2790.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2790.vhd
new file mode 100644
index 000000000..aa56a10c8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2790.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2790.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity CONSTANT is
+end CONSTANT;
+
+ENTITY c13s09b00x00p99n01i02790ent IS
+END c13s09b00x00p99n01i02790ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02790arch OF c13s09b00x00p99n01i02790ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02790 - Reserved word CONSTANT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02790arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2791.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2791.vhd
new file mode 100644
index 000000000..e9e98f61d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2791.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2791.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity DISCONNECT is
+end DISCONNECT;
+
+ENTITY c13s09b00x00p99n01i02791ent IS
+END c13s09b00x00p99n01i02791ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02791arch OF c13s09b00x00p99n01i02791ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02791 - Reserved word DISCONNECT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02791arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2792.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2792.vhd
new file mode 100644
index 000000000..fa660293c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2792.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2792.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity DOWNTO is
+end DOWNTO;
+
+ENTITY c13s09b00x00p99n01i02792ent IS
+END c13s09b00x00p99n01i02792ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02792arch OF c13s09b00x00p99n01i02792ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02792 - Reserved word DOWNTO can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02792arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2793.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2793.vhd
new file mode 100644
index 000000000..6c77ee57d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2793.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2793.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ELSE is
+ end ELSE;
+
+ ENTITY c13s09b00x00p99n01i02793ent IS
+ END c13s09b00x00p99n01i02793ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02793arch OF c13s09b00x00p99n01i02793ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02793 - Reserved word ELSE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02793arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2794.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2794.vhd
new file mode 100644
index 000000000..a5dacb16b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2794.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2794.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ELSIF is
+end ELSIF;
+
+ENTITY c13s09b00x00p99n01i02794ent IS
+END c13s09b00x00p99n01i02794ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02794arch OF c13s09b00x00p99n01i02794ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02794 - Reserved word ELSIF can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02794arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2795.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2795.vhd
new file mode 100644
index 000000000..eee8ca650
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2795.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2795.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity END is
+end END;
+
+ENTITY c13s09b00x00p99n01i02795ent IS
+END c13s09b00x00p99n01i02795ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02795arch OF c13s09b00x00p99n01i02795ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02795 - Reserved word END can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02795arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2796.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2796.vhd
new file mode 100644
index 000000000..6b4307f1c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2796.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2796.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ENTITY is
+end ENTITY;
+
+ENTITY c13s09b00x00p99n01i02796ent IS
+END c13s09b00x00p99n01i02796ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02796arch OF c13s09b00x00p99n01i02796ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02796 - Reserved word ENTITY can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02796arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2797.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2797.vhd
new file mode 100644
index 000000000..fe53dbde1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2797.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2797.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity EXIT is
+end EXIT;
+
+ENTITY c13s09b00x00p99n01i02797ent IS
+END c13s09b00x00p99n01i02797ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02797arch OF c13s09b00x00p99n01i02797ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02797 - Reserved word EXIT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02797arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2798.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2798.vhd
new file mode 100644
index 000000000..93e66b3fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2798.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2798.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity FILE is
+end FILE;
+
+ENTITY c13s09b00x00p99n01i02798ent IS
+END c13s09b00x00p99n01i02798ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02798arch OF c13s09b00x00p99n01i02798ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02798 - Reserved word FILE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02798arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2799.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2799.vhd
new file mode 100644
index 000000000..0fedb7614
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2799.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2799.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity FOR is
+end FOR;
+
+ENTITY c13s09b00x00p99n01i02799ent IS
+END c13s09b00x00p99n01i02799ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02799arch OF c13s09b00x00p99n01i02799ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02799 - Reserved word FOR can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02799arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc28.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc28.vhd
new file mode 100644
index 000000000..acb64e8f3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc28.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc28.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s02b00x00p11n01i00028ent IS
+END c04s02b00x00p11n01i00028ent;
+
+ARCHITECTURE c04s02b00x00p11n01i00028arch OF c04s02b00x00p11n01i00028ent IS
+ type MVL is ('0', '1', 'Z') ;
+ type MVL_VEC is array (positive range <>) of MVL;
+ function tristate (X:MVL_VEC) return MVL is
+ begin
+ return '1';
+ end tristate ;
+ type T1 is access MVL ;
+ subtype ST1 is tristate T1; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s02b00x00p11n01i00028- Subtype indication denoting an access type can not contain a resolution function."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s02b00x00p11n01i00028arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc280.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc280.vhd
new file mode 100644
index 000000000..a94e1fb7a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc280.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc280.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p08n02i00280ent IS
+END c03s01b03x00p08n02i00280ent;
+
+ARCHITECTURE c03s01b03x00p08n02i00280arch OF c03s01b03x00p08n02i00280ent IS
+ type J is -- physical type decl
+ range 0 to 1000
+ units
+ A;
+ B = 10.1 A; -- Failure_here.
+ C = 10 B;
+ D = 10 C;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p08n02i00280 - Unit names declared in secondary unit declarations must be integral multiples of the base unit ."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p08n02i00280arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2800.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2800.vhd
new file mode 100644
index 000000000..173d1676e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2800.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2800.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity FUNCTION is
+end FUNCTION;
+
+ENTITY c13s09b00x00p99n01i02800ent IS
+END c13s09b00x00p99n01i02800ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02800arch OF c13s09b00x00p99n01i02800ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02800 - Reserved word FUNCTION can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02800arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2801.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2801.vhd
new file mode 100644
index 000000000..37906b82b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2801.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2801.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity GENERATE is
+ end GENERATE;
+
+ ENTITY c13s09b00x00p99n01i02801ent IS
+ END c13s09b00x00p99n01i02801ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02801arch OF c13s09b00x00p99n01i02801ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02801 - Reserved word GENERATE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02801arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2802.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2802.vhd
new file mode 100644
index 000000000..e6da9f073
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2802.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2802.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity GENERIC is
+end GENERIC;
+
+ENTITY c13s09b00x00p99n01i02802ent IS
+END c13s09b00x00p99n01i02802ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02802arch OF c13s09b00x00p99n01i02802ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02802 - Reserved word GENERIC can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02802arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2803.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2803.vhd
new file mode 100644
index 000000000..fe3501595
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2803.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2803.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity GUARDED is
+end GUARDED;
+
+ENTITY c13s09b00x00p99n01i02803ent IS
+END c13s09b00x00p99n01i02803ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02803arch OF c13s09b00x00p99n01i02803ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02803 - Reserved word GUARDED can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02803arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2804.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2804.vhd
new file mode 100644
index 000000000..c4869346c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2804.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2804.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity IF is
+ end IF;
+
+ ENTITY c13s09b00x00p99n01i02804ent IS
+ END c13s09b00x00p99n01i02804ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02804arch OF c13s09b00x00p99n01i02804ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02804 - Reserved word IF can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02804arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2805.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2805.vhd
new file mode 100644
index 000000000..fd32a13ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2805.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2805.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity IN is
+end IN;
+
+ENTITY c13s09b00x00p99n01i02805ent IS
+END c13s09b00x00p99n01i02805ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02805arch OF c13s09b00x00p99n01i02805ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02805 - Reserved word IN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02805arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2806.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2806.vhd
new file mode 100644
index 000000000..68a45f4c7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2806.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2806.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity INOUT is
+end INOUT;
+
+ENTITY c13s09b00x00p99n01i02806ent IS
+END c13s09b00x00p99n01i02806ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02806arch OF c13s09b00x00p99n01i02806ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02806 - Reserved word INOUT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02806arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2807.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2807.vhd
new file mode 100644
index 000000000..fb0617e0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2807.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2807.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity IS is
+ end IS;
+
+ ENTITY c13s09b00x00p99n01i02807ent IS
+ END c13s09b00x00p99n01i02807ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02807arch OF c13s09b00x00p99n01i02807ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02807 - Reserved word IS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02807arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2808.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2808.vhd
new file mode 100644
index 000000000..f820fdd3f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2808.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2808.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity LABEL is
+end LABEL;
+
+ENTITY c13s09b00x00p99n01i02808ent IS
+END c13s09b00x00p99n01i02808ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02808arch OF c13s09b00x00p99n01i02808ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02808 - Reserved word LABEL can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02808arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2809.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2809.vhd
new file mode 100644
index 000000000..474b4f091
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2809.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2809.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity LIBRARY is
+end LIBRARY;
+
+ENTITY c13s09b00x00p99n01i02809ent IS
+END c13s09b00x00p99n01i02809ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02809arch OF c13s09b00x00p99n01i02809ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02809 - Reserved word LIBRARY can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02809arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2810.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2810.vhd
new file mode 100644
index 000000000..599f88d9a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2810.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2810.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity LINKAGE is
+end LINKAGE;
+
+ENTITY c13s09b00x00p99n01i02810ent IS
+END c13s09b00x00p99n01i02810ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02810arch OF c13s09b00x00p99n01i02810ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02810 - Reserved word LINKAGE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02810arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2811.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2811.vhd
new file mode 100644
index 000000000..d629c6b8e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2811.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2811.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity LOOP is
+ end LOOP;
+
+ ENTITY c13s09b00x00p99n01i02811ent IS
+ END c13s09b00x00p99n01i02811ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02811arch OF c13s09b00x00p99n01i02811ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02811 - Reserved word LOOP can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02811arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2812.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2812.vhd
new file mode 100644
index 000000000..34eeea251
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2812.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2812.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NAND is
+end NAND;
+
+ENTITY c13s09b00x00p99n01i02812ent IS
+END c13s09b00x00p99n01i02812ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02812arch OF c13s09b00x00p99n01i02812ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02812 - Reserved word NAND can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02812arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2813.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2813.vhd
new file mode 100644
index 000000000..61e08ab40
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2813.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2813.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NEW is
+end NEW;
+
+ENTITY c13s09b00x00p99n01i02813ent IS
+END c13s09b00x00p99n01i02813ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02813arch OF c13s09b00x00p99n01i02813ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02813 - Reserved word NEW can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02813arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2814.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2814.vhd
new file mode 100644
index 000000000..23ed8dac2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2814.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2814.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NEXT is
+end NEXT;
+
+ENTITY c13s09b00x00p99n01i02814ent IS
+END c13s09b00x00p99n01i02814ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02814arch OF c13s09b00x00p99n01i02814ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02814 - Reserved word NEXT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02814
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2815.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2815.vhd
new file mode 100644
index 000000000..895ed3604
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2815.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2815.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NOR is
+end NOR;
+
+ENTITY c13s09b00x00p99n01i02815ent IS
+END c13s09b00x00p99n01i02815ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02815arch OF c13s09b00x00p99n01i02815ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02815 - Reserved word NOR can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02815arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2816.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2816.vhd
new file mode 100644
index 000000000..bf7afad2e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2816.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2816.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NOT is
+end NOT;
+
+ENTITY c13s09b00x00p99n01i02816ent IS
+END c13s09b00x00p99n01i02816ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02816arch OF c13s09b00x00p99n01i02816ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02816 - Reserved word NOT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02816arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2817.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2817.vhd
new file mode 100644
index 000000000..170c7808f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2817.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2817.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity NULL is
+end NULL;
+
+ENTITY c13s09b00x00p99n01i02817ent IS
+END c13s09b00x00p99n01i02817ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02817arch OF c13s09b00x00p99n01i02817ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02817 - Reserved word NULL can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02817arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2818.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2818.vhd
new file mode 100644
index 000000000..ab9d30141
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2818.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2818.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity OF is
+end OF;
+
+ENTITY c13s09b00x00p99n01i02818ent IS
+END c13s09b00x00p99n01i02818ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02818arch OF c13s09b00x00p99n01i02818ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02818 - Reserved word OF can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02818arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2819.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2819.vhd
new file mode 100644
index 000000000..f9d48c198
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2819.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2819.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity ON is
+end ON;
+
+ENTITY c13s09b00x00p99n01i02819ent IS
+END c13s09b00x00p99n01i02819ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02819arch OF c13s09b00x00p99n01i02819ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02819 - Reserved word ON can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02819arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc282.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc282.vhd
new file mode 100644
index 000000000..6d9fae539
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc282.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc282.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p08n02i00282ent IS
+END c03s01b03x00p08n02i00282ent;
+
+ARCHITECTURE c03s01b03x00p08n02i00282arch OF c03s01b03x00p08n02i00282ent IS
+ type time is range 0 to 1E8 units
+ fs;
+-- -- Failure_here: min is not defined
+ ps = 10 min;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p08n02i00282 - Unit names declared in secondary unit declarations must be integral multiples of the base unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p08n02i00282arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2820.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2820.vhd
new file mode 100644
index 000000000..fb1193255
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2820.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2820.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity OPEN is
+end OPEN;
+
+ENTITY c13s09b00x00p99n01i02820ent IS
+END c13s09b00x00p99n01i02820ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02820arch OF c13s09b00x00p99n01i02820ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02820 - Reserved word OPEN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02820arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2821.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2821.vhd
new file mode 100644
index 000000000..31df827de
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2821.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2821.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity OR is
+end OR;
+
+ENTITY c13s09b00x00p99n01i02821ent IS
+END c13s09b00x00p99n01i02821ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02821arch OF c13s09b00x00p99n01i02821ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02821 - Reserved word OR can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02821arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2822.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2822.vhd
new file mode 100644
index 000000000..132aad555
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2822.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2822.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity OTHERS is
+end OTHERS;
+
+ENTITY c13s09b00x00p99n01i02822ent IS
+END c13s09b00x00p99n01i02822ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02822arch OF c13s09b00x00p99n01i02822ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02822 - Reserved word OTHERS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02822arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2823.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2823.vhd
new file mode 100644
index 000000000..f3c352ce2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2823.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2823.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity OUT is
+end OUT;
+
+ENTITY c13s09b00x00p99n01i02823ent IS
+END c13s09b00x00p99n01i02823ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02823arch OF c13s09b00x00p99n01i02823ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02823 - Reserved word OUT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02823arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2824.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2824.vhd
new file mode 100644
index 000000000..733c8fbf7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2824.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2824.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity PACKAGE is
+end PACKAGE;
+
+ENTITY c13s09b00x00p99n01i02824ent IS
+END c13s09b00x00p99n01i02824ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02824arch OF c13s09b00x00p99n01i02824ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02824 - Reserved word PACKAGE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02824arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2825.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2825.vhd
new file mode 100644
index 000000000..bec1f3bc7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2825.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2825.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity PORT is
+end PORT;
+
+ENTITY c13s09b00x00p99n01i02825ent IS
+END c13s09b00x00p99n01i02825ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02825arch OF c13s09b00x00p99n01i02825ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02825 - Reserved word PORT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02825arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2826.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2826.vhd
new file mode 100644
index 000000000..835ae5220
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2826.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2826.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity PROCEDURE is
+end PROCEDURE;
+
+ENTITY c13s09b00x00p99n01i02826ent IS
+END c13s09b00x00p99n01i02826ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02826arch OF c13s09b00x00p99n01i02826ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02826 - Reserved word PROCEDURE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02826arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2827.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2827.vhd
new file mode 100644
index 000000000..13c7e47ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2827.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2827.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity PROCESS is
+ end PROCESS;
+
+ ENTITY c13s09b00x00p99n01i02827ent IS
+ END c13s09b00x00p99n01i02827ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02827arch OF c13s09b00x00p99n01i02827ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02827 - Reserved word PROCESS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02827arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2828.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2828.vhd
new file mode 100644
index 000000000..8104ab555
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2828.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2828.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity MAP is
+end MAP;
+
+ENTITY c13s09b00x00p98n01i02828ent IS
+END c13s09b00x00p98n01i02828ent;
+
+ARCHITECTURE c13s09b00x00p98n01i02828arch OF c13s09b00x00p98n01i02828ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p98n01i02828 - Reserved word MAP can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p98n01i02828arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2829.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2829.vhd
new file mode 100644
index 000000000..e7568dd6a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2829.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2829.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity MOD is
+end MOD;
+
+ENTITY c13s09b00x00p99n01i02829ent IS
+END c13s09b00x00p99n01i02829ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02829arch OF c13s09b00x00p99n01i02829ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02829 - Reserved word MOD can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02829arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc283.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc283.vhd
new file mode 100644
index 000000000..f77bcf998
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc283.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc283.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p10n01i00283ent IS
+END c03s01b03x00p10n01i00283ent;
+
+ARCHITECTURE c03s01b03x00p10n01i00283arch OF c03s01b03x00p10n01i00283ent IS
+ type J is -- physical type decl
+ range 0 to 1000
+ units
+ A;
+ B = 10 A;
+ C = 10.1 B; -- Failure_here
+ D = 10 C;
+ end units;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p10n01i00283 - Abstract literal portion of a physical literal appearing in a secondary unit declaration must be integer literal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p10n01i00283arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2830.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2830.vhd
new file mode 100644
index 000000000..1af5cbf81
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2830.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2830.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity RANGE is
+end RANGE;
+
+ENTITY c13s09b00x00p99n01i02830ent IS
+END c13s09b00x00p99n01i02830ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02830arch OF c13s09b00x00p99n01i02830ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02830 - Reserved word RANGE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02830arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2831.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2831.vhd
new file mode 100644
index 000000000..3dab70726
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2831.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2831.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity RECORD is
+ end RECORD;
+
+ ENTITY c13s09b00x00p99n01i02831ent IS
+ END c13s09b00x00p99n01i02831ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02831arch OF c13s09b00x00p99n01i02831ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02831 - Reserved word RECORD can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02831arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2832.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2832.vhd
new file mode 100644
index 000000000..238016569
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2832.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2832.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity REM is
+end REM;
+
+ENTITY c13s09b00x00p99n01i02832ent IS
+END c13s09b00x00p99n01i02832ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02832arch OF c13s09b00x00p99n01i02832ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02832 - Reserved word REM can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02832arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2833.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2833.vhd
new file mode 100644
index 000000000..250cf0083
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2833.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2833.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity REPORT is
+end REPORT;
+
+ENTITY c13s09b00x00p99n01i02833ent IS
+END c13s09b00x00p99n01i02833ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02833arch OF c13s09b00x00p99n01i02833ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02833 - Reserved word REPORT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02833arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2834.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2834.vhd
new file mode 100644
index 000000000..00a7627e1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2834.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2834.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity RETURN is
+end RETURN;
+
+ENTITY c13s09b00x00p99n01i02834ent IS
+END c13s09b00x00p99n01i02834ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02834arch OF c13s09b00x00p99n01i02834ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02834 - Reserved word RETURN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02834arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2835.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2835.vhd
new file mode 100644
index 000000000..0da6c43c5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2835.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2835.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity SELECT is
+end SELECT;
+
+ENTITY c13s09b00x00p99n01i02835ent IS
+END c13s09b00x00p99n01i02835ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02835arch OF c13s09b00x00p99n01i02835ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02835 - Reserved word SELECT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02835arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2836.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2836.vhd
new file mode 100644
index 000000000..f8e04792c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2836.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2836.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity SEVERITY is
+end SEVERITY;
+
+ENTITY c13s09b00x00p99n01i02836ent IS
+END c13s09b00x00p99n01i02836ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02836arch OF c13s09b00x00p99n01i02836ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02836 - Reserved word SEVERITY can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02836arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2837.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2837.vhd
new file mode 100644
index 000000000..598556041
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2837.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2837.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity SIGNAL is
+end SIGNAL;
+
+ENTITY c13s09b00x00p99n01i02837ent IS
+END c13s09b00x00p99n01i02837ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02837arch OF c13s09b00x00p99n01i02837ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02837 - Reserved word SIGNAL can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02837arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2838.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2838.vhd
new file mode 100644
index 000000000..5d2576a4d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2838.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2838.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity SUBTYPE is
+end SUBTYPE;
+
+ENTITY c13s09b00x00p99n01i02838ent IS
+END c13s09b00x00p99n01i02838ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02838arch OF c13s09b00x00p99n01i02838ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02838 - Reserved word SUBTYPE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02838arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2839.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2839.vhd
new file mode 100644
index 000000000..7875c9065
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2839.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2839.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity THEN is
+ end THEN;
+
+ ENTITY c13s09b00x00p99n01i02839ent IS
+ END c13s09b00x00p99n01i02839ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02839arch OF c13s09b00x00p99n01i02839ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02839 - Reserved word THEN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02839arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2840.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2840.vhd
new file mode 100644
index 000000000..f3c37a699
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2840.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2840.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity TO is
+end TO;
+
+ENTITY c13s09b00x00p99n01i02840ent IS
+END c13s09b00x00p99n01i02840ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02840arch OF c13s09b00x00p99n01i02840ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02840 - Reserved word TO can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02840arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2841.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2841.vhd
new file mode 100644
index 000000000..8fbf21c0b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2841.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2841.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity TRANSPORT is
+end TRANSPORT;
+
+ENTITY c13s09b00x00p99n01i02841ent IS
+END c13s09b00x00p99n01i02841ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02841arch OF c13s09b00x00p99n01i02841ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02841 - Reserved word TRANSPORT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02841arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2842.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2842.vhd
new file mode 100644
index 000000000..5d60282d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2842.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2842.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity TYPE is
+end TYPE;
+
+ENTITY c13s09b00x00p99n01i02842ent IS
+END c13s09b00x00p99n01i02842ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02842arch OF c13s09b00x00p99n01i02842ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02842 - Reserved word TYPE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02842arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2843.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2843.vhd
new file mode 100644
index 000000000..1e8152199
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2843.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2843.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity UNITS is
+ end UNITS;
+
+ ENTITY c13s09b00x00p99n01i02843ent IS
+ END c13s09b00x00p99n01i02843ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02843arch OF c13s09b00x00p99n01i02843ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02843 - Reserved word UNITS can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02843arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2844.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2844.vhd
new file mode 100644
index 000000000..9c94a7222
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2844.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2844.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity UNTIL is
+end UNTIL;
+
+ENTITY c13s09b00x00p99n01i02844ent IS
+END c13s09b00x00p99n01i02844ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02844arch OF c13s09b00x00p99n01i02844ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02844 - Reserved word UNTIL can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02844arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2845.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2845.vhd
new file mode 100644
index 000000000..f5a950ff8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2845.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2845.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity USE is
+end USE;
+
+ENTITY c13s09b00x00p99n01i02845ent IS
+END c13s09b00x00p99n01i02845ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02845arch OF c13s09b00x00p99n01i02845ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02845 - Reserved word USE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02845arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2846.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2846.vhd
new file mode 100644
index 000000000..da13fbced
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2846.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2846.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity VARIABLE is
+end VARIABLE;
+
+ENTITY c13s09b00x00p99n01i02846ent IS
+END c13s09b00x00p99n01i02846ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02846arch OF c13s09b00x00p99n01i02846ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02846 - Reserved word VARIABLE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02846arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2847.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2847.vhd
new file mode 100644
index 000000000..d17c0c018
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2847.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2847.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity WAIT is
+end WAIT;
+
+ENTITY c13s09b00x00p99n01i02847ent IS
+END c13s09b00x00p99n01i02847ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02847arch OF c13s09b00x00p99n01i02847ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02847 - Reserved word WAIT can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02847arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2848.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2848.vhd
new file mode 100644
index 000000000..036e4f229
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2848.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2848.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity WHEN is
+end WHEN;
+
+ENTITY c13s09b00x00p99n01i02848ent IS
+END c13s09b00x00p99n01i02848ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02848arch OF c13s09b00x00p99n01i02848ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02848 - Reserved word WHEN can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02848arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2849.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2849.vhd
new file mode 100644
index 000000000..0f35b3794
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2849.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2849.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity WHILE is
+ end WHILE;
+
+ ENTITY c13s09b00x00p99n01i02849ent IS
+ END c13s09b00x00p99n01i02849ent;
+
+ ARCHITECTURE c13s09b00x00p99n01i02849arch OF c13s09b00x00p99n01i02849ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02849 - Reserved word WHILE can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c13s09b00x00p99n01i02849arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2850.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2850.vhd
new file mode 100644
index 000000000..653690b2b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2850.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2850.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity WITH is
+end WITH;
+
+ENTITY c13s09b00x00p99n01i02850ent IS
+END c13s09b00x00p99n01i02850ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02850arch OF c13s09b00x00p99n01i02850ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02850 - Reserved word WITH can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02850arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2851.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2851.vhd
new file mode 100644
index 000000000..7c9eedb77
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2851.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2851.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity XOR is
+end XOR;
+
+ENTITY c13s09b00x00p99n01i02851ent IS
+END c13s09b00x00p99n01i02851ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02851arch OF c13s09b00x00p99n01i02851ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02851 - Reserved word XOR can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02851arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2852.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2852.vhd
new file mode 100644
index 000000000..710055055
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2852.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2852.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity REGISTER is
+end REGISTER;
+
+ENTITY c13s09b00x00p99n01i02852ent IS
+END c13s09b00x00p99n01i02852ent;
+
+ARCHITECTURE c13s09b00x00p99n01i02852arch OF c13s09b00x00p99n01i02852ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s09b00x00p99n01i02852 - Reserved word REGISTER can not be used as an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s09b00x00p99n01i02852arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2855.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2855.vhd
new file mode 100644
index 000000000..949307515
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2855.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2855.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s10b00x00p03n01i02855ent IS
+END c13s10b00x00p03n01i02855ent;
+
+ARCHITECTURE c13s10b00x00p03n01i02855arch OF c13s10b00x00p03n01i02855ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable based_int : integer := 3#12:;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s10b00x00p03n01i02855 - The sharp character (#) of a based literal can be replaced by colons (:), the replacement is done for both occurences.(Here left hand side # sign did not be replaced)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s10b00x00p03n01i02855arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2856.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2856.vhd
new file mode 100644
index 000000000..591a9a0e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2856.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2856.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s10b00x00p03n01i02856ent IS
+END c13s10b00x00p03n01i02856ent;
+
+ARCHITECTURE c13s10b00x00p03n01i02856arch OF c13s10b00x00p03n01i02856ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable based_int : integer := 3:12#;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s10b00x00p03n01i02856 - The sharp character (#) of a based literal can be replaced by colons (:), the replacement is done for both occurences.(Here right hand side # sign did not be replaced)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s10b00x00p03n01i02856arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2857.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2857.vhd
new file mode 100644
index 000000000..f31b6bef0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2857.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2857.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s10b00x00p04n01i02857ent IS
+END c13s10b00x00p04n01i02857ent;
+
+ARCHITECTURE c13s10b00x00p04n01i02857arch OF c13s10b00x00p04n01i02857ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector(0 to 7) := %01010101";
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s10b00x00p04n01i02857 - Only left hand side quotation mark ("") is replaced by percent character (%)."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s10b00x00p04n01i02857arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2858.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2858.vhd
new file mode 100644
index 000000000..b3f7ad8e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2858.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2858.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s10b00x00p04n01i02858ent IS
+END c13s10b00x00p04n01i02858ent;
+
+ARCHITECTURE c13s10b00x00p04n01i02858arch OF c13s10b00x00p04n01i02858ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable bit_str : bit_vector(0 to 7) := "01010101%;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c13s10b00x00p04n01i02858 - Only right hand side quotation mark ("") is replaced by percent character (%)."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s10b00x00p04n01i02858arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2859.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2859.vhd
new file mode 100644
index 000000000..61da9ec77
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2859.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2859.vhd,v 1.1.1.1 2001-08-22 18:20:50 paw Exp $
+-- $Revision: 1.1.1.1 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c13s10b00x00p04n01i02859ent IS
+END c13s10b00x00p04n01i02859ent;
+
+ARCHITECTURE c13s10b00x00p04n01i02859arch OF c13s10b00x00p04n01i02859ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert false
+ report %This string is illegal because of this character ".%
+ severity note ;
+ assert FALSE
+ report "***FAILED TEST: c13s10b00x00p04n01i02859 - Enclosed sequence of characters contains quotation character."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c13s10b00x00p04n01i02859arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2867.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2867.vhd
new file mode 100644
index 000000000..bacaf0735
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2867.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2867.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s01b00x00p03n01i02867pkg is
+ function testp (I1:Bit) return bit --- Failure_here
+end c02s01b00x00p03n01i02867pkg;
+
+package body c02s01b00x00p03n01i02867pkg is
+ function testp(I1:Bit) return bit is
+ begin
+ if (I1 = '1') then
+ return '1';
+ else
+ return '0';
+ end if;
+ end testp;
+end c02s01b00x00p03n01i02867pkg;
+
+
+ENTITY c02s01b00x00p03n01i02867ent IS
+END c02s01b00x00p03n01i02867ent;
+
+ARCHITECTURE c02s01b00x00p03n01i02867arch OF c02s01b00x00p03n01i02867ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p03n01i02867 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p03n01i02867arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2869.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2869.vhd
new file mode 100644
index 000000000..aa6c061c6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2869.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2869.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p03n01i02869ent IS
+END c02s01b00x00p03n01i02869ent;
+
+ARCHITECTURE c02s01b00x00p03n01i02869arch OF c02s01b00x00p03n01i02869ent IS
+ procedure (I1:Bit); --Failure here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p03n01i02869 - Missing designator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p03n01i02869arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2871.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2871.vhd
new file mode 100644
index 000000000..a290c2401
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2871.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2871.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p03n01i02871ent IS
+END c02s01b00x00p03n01i02871ent;
+
+ARCHITECTURE c02s01b00x00p03n01i02871arch OF c02s01b00x00p03n01i02871ent IS
+ function testp (I1:Bit) return; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p03n01i02871 - Missing type mark."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p03n01i02871arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2872.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2872.vhd
new file mode 100644
index 000000000..d4bb2f9e5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2872.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2872.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p06n04i02872ent IS
+END c02s01b00x00p06n04i02872ent;
+
+ARCHITECTURE c02s01b00x00p06n04i02872arch OF c02s01b00x00p06n04i02872ent IS
+ procedure "+" (x: in integer; y: out boolean); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p06n04i02872 - A procedure designator must always be an identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p06n04i02872arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2873.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2873.vhd
new file mode 100644
index 000000000..ec59c6296
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2873.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2873.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p06n04i02873ent IS
+ -- Failure_here: Illegal procedure.
+ procedure "and";
+ procedure "and" is
+ begin
+ end;
+END c02s01b00x00p06n04i02873ent;
+
+ARCHITECTURE c02s01b00x00p06n04i02873arch OF c02s01b00x00p06n04i02873ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p06n04i02873 - A procedure designator must always be an identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p06n04i02873arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2875.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2875.vhd
new file mode 100644
index 000000000..b5c87b6bd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2875.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2875.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p06n08i02875ent IS
+ -- Failure_here: Embedded spaces in string_literal of the overloaded operator
+ function "abs " return real is
+ begin
+ return 1.0;
+ end;
+END c02s01b00x00p06n08i02875ent;
+
+ARCHITECTURE c02s01b00x00p06n08i02875arch OF c02s01b00x00p06n08i02875ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p06n08i02875 - Extra spaces are not allowed in an operator symbol."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p06n08i02875arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2877.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2877.vhd
new file mode 100644
index 000000000..2afb03518
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2877.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2877.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p06n05i02877ent IS
+ -- Failure_here
+ function "an" & "d" return BOOLEAN;
+END c02s01b00x00p06n05i02877ent;
+
+ARCHITECTURE c02s01b00x00p06n05i02877arch OF c02s01b00x00p06n05i02877ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p06n05i02877 - Illegal function designator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p06n05i02877arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2878.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2878.vhd
new file mode 100644
index 000000000..11b716843
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2878.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2878.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b00x00p06n07i02878ent IS
+ -- Failure_here : Overloading of a non-existant operator
+ function "eor" (k,m:real) return real is
+ begin
+ end;
+END c02s01b00x00p06n07i02878ent;
+
+ARCHITECTURE c02s01b00x00p06n07i02878arch OF c02s01b00x00p06n07i02878ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b00x00p06n07i02878 - The operator symbol used is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b00x00p06n07i02878arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2884.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2884.vhd
new file mode 100644
index 000000000..8d2c060c0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2884.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2884.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p04n03i02884ent IS
+ procedure proc1 (sig1: out real) is
+ begin
+ -- Failure_here: Out parameters are assumed to be object class VARIABLE
+ sig1 <= 27.3;
+ end proc1;
+END c02s01b01x00p04n03i02884ent;
+
+ARCHITECTURE c02s01b01x00p04n03i02884arch OF c02s01b01x00p04n03i02884ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p04n03i02884 - The target of a signal assignment statement cannot be a variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p04n03i02884arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2885.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2885.vhd
new file mode 100644
index 000000000..4137555f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2885.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2885.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p04n02i02885ent IS
+ procedure howe (k:in real; v:out real) is
+ begin
+ -- ERROR: k is assumed to be a constant
+ k := 27.3;
+ v := 35.7;
+ end howe;
+END c02s01b01x00p04n02i02885ent;
+
+ARCHITECTURE c02s01b01x00p04n02i02885arch OF c02s01b01x00p04n02i02885ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p04n02i02885 - The target of a variable assignment statement cannot be a constant."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p04n02i02885arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2886.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2886.vhd
new file mode 100644
index 000000000..7c64a62b1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2886.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2886.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY ch020101_p00401_01_ent IS
+ PORT ( d : IN bit;
+ q : OUT bit);
+END ch020101_p00401_01_ent;
+
+ARCHITECTURE ch020101_p00401_01_arch OF ch020101_p00401_01_ent IS
+ procedure proc1 (signal p1 : inout bit);
+ procedure proc2 (signal p1 : buffer bit);
+
+ procedure proc1 (signal p1 : inout bit) is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ end;
+
+ procedure proc2 (signal p1 : buffer bit) is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ end;
+BEGIN
+ proc1 (d);
+ q <= d;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: /src/ch02/sc01/sb01/p004/s010101.vhd - Buffer is not an allowed mode for formal parameter of a procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END ch020101_p00401_01_arch;
+
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2887.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2887.vhd
new file mode 100644
index 000000000..2519633d3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2887.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2887.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p04n01i02887ent IS
+ PORT ( d : IN bit;
+ q : OUT bit);
+END c02s01b01x00p04n01i02887ent;
+
+ARCHITECTURE c02s01b01x00p04n01i02887arch OF c02s01b01x00p04n01i02887ent IS
+ procedure proc1 (variable p1 : in bit);
+ procedure proc2 (variable p1 : buffer bit);
+
+ procedure proc1 (variable p1 : in bit) is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ end;
+
+ procedure proc2 (variable p1 : buffer bit) is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ end;
+BEGIN
+ proc1 (d);
+ proc2 (d);
+ q <= d;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p04n01i02887 - Buffer is not an allowed mode for formal parameter of a procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p04n01i02887arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2888.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2888.vhd
new file mode 100644
index 000000000..d2bc378a4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2888.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2888.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p04n01i02888ent IS
+END c02s01b01x00p04n01i02888ent;
+
+ARCHITECTURE c02s01b01x00p04n01i02888arch OF c02s01b01x00p04n01i02888ent IS
+ procedure exp_type_check (c1: out integer;
+ c2: in integer;
+ c3: inout integer;
+ c5: linkage integer); -- Failure_here
+ procedure exp_type_check (c1: out integer;
+ c2: in integer;
+ c3: inout integer;
+ c5: linkage integer) is
+ begin
+ null;
+ end exp_type_check;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p04n01i02888 - Linkage is not an allowed mode for formal parameter of a procedure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p04n01i02888arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2889.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2889.vhd
new file mode 100644
index 000000000..bcdd29fe3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2889.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2889.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p04n03i02889ent IS
+ procedure proc1 (sig1 : inout real) is
+ begin
+ -- Failure_here: Inout parameters are assumed to be object class VARIABLE
+ sig1 <= 27.3;
+ end proc1;
+END c02s01b01x00p04n03i02889ent;
+
+ARCHITECTURE c02s01b01x00p04n03i02889arch OF c02s01b01x00p04n03i02889ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p04n03i02889 - The target of a signal assignment statement cannot be a variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p04n03i02889arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc289.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc289.vhd
new file mode 100644
index 000000000..acb1f9968
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc289.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc289.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x00p13n01i00289ent IS
+END c03s01b03x00p13n01i00289ent;
+
+ARCHITECTURE c03s01b03x00p13n01i00289arch OF c03s01b03x00p13n01i00289ent IS
+ type T is
+ range 1 to 100
+ units
+ I ;
+ J = 2 I;
+ K = 2 J;
+ L = 10 K;
+ end units;
+ signal S1 : T;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 <= 10 * L;
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x00p13n01i00289 - Value doesn't belong to the physical type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x00p13n01i00289arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2890.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2890.vhd
new file mode 100644
index 000000000..9919ef9b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2890.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2890.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n03i02890ent IS
+END c02s01b01x00p05n03i02890ent;
+
+ARCHITECTURE c02s01b01x00p05n03i02890arch OF c02s01b01x00p05n03i02890ent IS
+ function F1 ( A,B : integer) return integer;
+ function F1 ( A,B : integer ) return integer is
+ begin
+ A := 2 ; -- Failure_here
+ --ERROR: formal paramters not explicitly given are constant and therfore
+ -- this assignment is illegal.
+
+ B := B * A; -- Failure_here
+ --ERROR: formal paramters not explicitly given are constant and therfore
+ -- this assignment is illegal.
+
+ return 3;
+ end F1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n03i02890 - Cannot assign a value to a 'constant'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n03i02890arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2891.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2891.vhd
new file mode 100644
index 000000000..dbde80e0c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2891.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2891.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n01i02891ent IS
+ PORT ( d : IN bit;
+ q : OUT bit);
+END c02s01b01x00p05n01i02891ent;
+
+ARCHITECTURE c02s01b01x00p05n01i02891arch OF c02s01b01x00p05n01i02891ent IS
+ function func1 (signal p1 : in bit) return bit;
+ function func2 (signal p1 : buffer bit) return bit;
+
+ function func1 (signal p1 : in bit) return bit is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ return (v1);
+ end;
+
+ function func2 (signal p1 : buffer bit) return bit is
+ variable v1 : bit;
+ begin
+ v1 := p1;
+ return (v1);
+ end;
+BEGIN
+ func1 (d);
+ func2 (d);
+ q <= d;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n01i02891 - Buffer is not an allowed mode for formal parameters of a function."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n01i02891arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2892.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2892.vhd
new file mode 100644
index 000000000..b1b6ae3f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2892.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2892.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n01i02892ent IS
+END c02s01b01x00p05n01i02892ent;
+
+ARCHITECTURE c02s01b01x00p05n01i02892arch OF c02s01b01x00p05n01i02892ent IS
+ function F1 ( A : inout integer ) return boolean is -- Failure_here
+ --ERROR: only mode "in" allowed for function formal parameter list
+ begin
+ return false;
+ end F1;
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n01i02892 - Only mode in is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n01i02892arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2893.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2893.vhd
new file mode 100644
index 000000000..6c0b46f2f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2893.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2893.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n01i02893ent IS
+END c02s01b01x00p05n01i02893ent;
+
+ARCHITECTURE c02s01b01x00p05n01i02893arch OF c02s01b01x00p05n01i02893ent IS
+ function F1 ( A : out integer ) return boolean is -- Failure_here
+ --ERROR: only mode "in" allowed for function formal parameter list
+ begin
+ return false;
+ end F1;
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n01i02893 - Only mode in is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n01i02893arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2894.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2894.vhd
new file mode 100644
index 000000000..ecdf96c13
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2894.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2894.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n01i02894ent IS
+END c02s01b01x00p05n01i02894ent;
+
+ARCHITECTURE c02s01b01x00p05n01i02894arch OF c02s01b01x00p05n01i02894ent IS
+ function F1 ( A : linkage integer ) return boolean is -- Failure_here
+ --ERROR: only mode "in" allowed for function formal parameter list
+ begin
+ return false;
+ end F1;
+BEGIN
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n01i02894 - Only mode in is allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n01i02894arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2895.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2895.vhd
new file mode 100644
index 000000000..8e77cfc81
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2895.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2895.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p05n02i02895ent IS
+END c02s01b01x00p05n02i02895ent;
+
+ARCHITECTURE c02s01b01x00p05n02i02895arch OF c02s01b01x00p05n02i02895ent IS
+ function exp_type_check (variable c1: in integer) return integer is
+ -- Failure_here
+ begin
+ null;
+ end exp_type_check;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p05n02i02895 - The object class for formal parameters of a function cannot be of object class variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p05n02i02895arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2896.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2896.vhd
new file mode 100644
index 000000000..dd47ee14e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2896.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2896.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p06n01i02896ent IS
+END c02s01b01x00p06n01i02896ent;
+
+ARCHITECTURE c02s01b01x00p06n01i02896arch OF c02s01b01x00p06n01i02896ent IS
+ function func1 (signal a1 : real) return integer is
+ begin
+ null;
+ end func1;
+BEGIN
+ TESTING: PROCESS
+ variable x: real := 1.2;
+ variable y: integer;
+ BEGIN
+ y := func1 (x); -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p06n01i02896 - In a subprogram call the actual designator associated with a formal parameter of class signal cannot be of type variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p06n01i02896arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2897.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2897.vhd
new file mode 100644
index 000000000..f6f28a500
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2897.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2897.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p06n01i02897ent IS
+END c02s01b01x00p06n01i02897ent;
+
+ARCHITECTURE c02s01b01x00p06n01i02897arch OF c02s01b01x00p06n01i02897ent IS
+
+BEGIN
+ TESTING: PROCESS
+ procedure check (signal x:in integer; y:in boolean := true) is
+ begin
+ null;
+ end;
+ variable p: integer := 3;
+ BEGIN
+ check (p);
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p06n01i02897 - Class mismatch in procedure call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p06n01i02897arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2898.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2898.vhd
new file mode 100644
index 000000000..5a0014f20
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2898.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2898.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p06n01i02898ent IS
+END c02s01b01x00p06n01i02898ent;
+
+ARCHITECTURE c02s01b01x00p06n01i02898arch OF c02s01b01x00p06n01i02898ent IS
+ function func1 (signal A:integer) return integer is
+ begin
+ if a > 0 then
+ return 5;
+ else
+ return 0;
+ end if;
+ end func1;
+ constant C1 : integer := 0;
+BEGIN
+ TESTING: PROCESS
+ variable V1 : integer;
+ BEGIN
+ V1 := func1( C1 ); -- Failure_here
+ -- ERROR: Actual corresponding to a formal of class signal must be a signal
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p06n01i02898 - The formal designator of class signal must be associated with an actual of class signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p06n01i02898arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2899.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2899.vhd
new file mode 100644
index 000000000..0d9e92b4b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2899.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2899.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x00p06n02i02899ent IS
+END c02s01b01x00p06n02i02899ent;
+
+ARCHITECTURE c02s01b01x00p06n02i02899arch OF c02s01b01x00p06n02i02899ent IS
+ signal p: integer := 3;
+BEGIN
+ TESTING: PROCESS
+ procedure check (variable x:in integer; y:in boolean := true) is
+ begin
+ end;
+ BEGIN
+ check (p);
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x00p06n02i02899 - Class mismatch in procudure call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x00p06n02i02899arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2905.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2905.vhd
new file mode 100644
index 000000000..8046c91ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2905.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2905.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02905ent IS
+END c02s01b01x02p03n01i02905ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02905arch OF c02s01b01x02p03n01i02905ent IS
+ procedure proc1 (signal S1: in bit) is
+ variable V2 : boolean;
+ begin
+ -- Failure_here : attribute QUIET may not be read within a procedure
+ V2 := S1'quiet;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02905 - The attribute QUIET of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02905arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2906.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2906.vhd
new file mode 100644
index 000000000..8d567cc56
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2906.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2906.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02906ent IS
+END c02s01b01x02p03n01i02906ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02906arch OF c02s01b01x02p03n01i02906ent IS
+ procedure proc1 (signal S1: in bit) is
+ variable V2 : boolean;
+ begin
+ -- Failure_here : attribute STABLE may not be read within a procedure
+ V2 := S1'stable;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02906 - The attribute STABLE of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02906arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2907.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2907.vhd
new file mode 100644
index 000000000..d5e3e4be8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2907.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2907.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02907ent IS
+END c02s01b01x02p03n01i02907ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02907arch OF c02s01b01x02p03n01i02907ent IS
+ procedure proc1 (signal S1: in bit) is
+ variable V2 : bit;
+ begin
+ -- Failure_here : attribute DELAYED may not be read within a procedure
+ V2 := S1'delayed;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02907 - The attribute DELAYED of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02907arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2908.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2908.vhd
new file mode 100644
index 000000000..f620f0f20
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2908.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2908.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02908ent IS
+END c02s01b01x02p03n01i02908ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02908arch OF c02s01b01x02p03n01i02908ent IS
+ function func1 (signal S1: in bit) return bit is
+ variable V1 : bit;
+ begin
+ -- Failure_here : attribute DELAYED may not be read within a function
+ V1 := S1'delayed;
+ end func1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02908 - The attribute DELAYED of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02908arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2909.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2909.vhd
new file mode 100644
index 000000000..fb59713d4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2909.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2909.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02909ent IS
+END c02s01b01x02p03n01i02909ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02909arch OF c02s01b01x02p03n01i02909ent IS
+ function func1 (signal S1: in bit) return bit is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute STABLE may not be read within a function
+ V1 := S1'STABLE;
+ end func1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02909 - The attribute STABLE of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02909arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2910.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2910.vhd
new file mode 100644
index 000000000..14e32f6c5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2910.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2910.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02910ent IS
+END c02s01b01x02p03n01i02910ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02910arch OF c02s01b01x02p03n01i02910ent IS
+ function func1 (signal S1: in bit) return bit is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute QUIET may not be read within a function
+ V1 := S1'QUIET;
+ end func1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02910 - The attribute QUIET of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02910arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2911.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2911.vhd
new file mode 100644
index 000000000..8bea2acd2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2911.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2911.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02911ent IS
+END c02s01b01x02p03n01i02911ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02911arch OF c02s01b01x02p03n01i02911ent IS
+ procedure proc1 (signal S1: inout bit) is
+ variable V1 : bit;
+ begin
+ -- Failure_here : attribute DELAYED may not be read within a procedure
+ V1 := S1'DELAYED;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02911 - The attribute DELAYED of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02911arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2912.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2912.vhd
new file mode 100644
index 000000000..e1914f132
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2912.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2912.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02912ent IS
+END c02s01b01x02p03n01i02912ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02912arch OF c02s01b01x02p03n01i02912ent IS
+ procedure proc1 (signal S1: inout bit) is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute STABLE may not be read within a procedure
+ V1 := S1'STABLE;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02912 - The attribute STABLE of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02912arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2913.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2913.vhd
new file mode 100644
index 000000000..4edef180d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2913.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2913.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02913ent IS
+END c02s01b01x02p03n01i02913ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02913arch OF c02s01b01x02p03n01i02913ent IS
+ procedure proc1 (signal S1: inout bit) is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute QUIET may not be read within a procedure
+ V1 := S1'QUIET;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02913 - The attribute QUIET of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02913arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2914.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2914.vhd
new file mode 100644
index 000000000..8cf127944
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2914.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2914.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02914ent IS
+END c02s01b01x02p03n01i02914ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02914arch OF c02s01b01x02p03n01i02914ent IS
+ procedure proc1 (signal S1: out bit) is
+ variable V1 : bit;
+ begin
+ -- Failure_here : attribute DELAYED may not be read within a procedure
+ V1 := S1'DELAYED;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02914 - The attribute DELAYED of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02914arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2915.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2915.vhd
new file mode 100644
index 000000000..19d8399f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2915.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2915.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02915ent IS
+END c02s01b01x02p03n01i02915ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02915arch OF c02s01b01x02p03n01i02915ent IS
+ procedure proc1 (signal S1: out bit) is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute STABLE may not be read within a procedure
+ V1 := S1'STABLE;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02915 - The attribute STABLE of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02915arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2916.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2916.vhd
new file mode 100644
index 000000000..218ca24b0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2916.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2916.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p03n01i02916ent IS
+END c02s01b01x02p03n01i02916ent;
+
+ARCHITECTURE c02s01b01x02p03n01i02916arch OF c02s01b01x02p03n01i02916ent IS
+ procedure proc1 (signal S1: out bit) is
+ variable V1 : boolean;
+ begin
+ -- Failure_here : attribute QUIET may not be read within a procedure
+ V1 := S1'QUIET;
+ end proc1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p03n01i02916 - The attribute QUIET of formal signal parameters can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p03n01i02916arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2919.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2919.vhd
new file mode 100644
index 000000000..ae8c52263
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2919.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2919.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p06n01i02919ent IS
+END c02s01b01x02p06n01i02919ent;
+
+ARCHITECTURE c02s01b01x02p06n01i02919arch OF c02s01b01x02p06n01i02919ent IS
+ procedure proc1 (signal x1 : bit; z1 : boolean);
+ procedure proc1 (signal x1 : bit; z1 : boolean) is
+ begin
+ null;
+ end proc1;
+ signal b: bit_vector (4 downto 1);
+BEGIN
+ TESTING: PROCESS
+ variable i : integer := 1;
+ BEGIN
+ proc1 (b(i), true); -- Failure_here
+ -- b(i) is not a static name.
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p06n01i02919 - The actual signal associated with a signal parameter must be denoted by a static name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p06n01i02919arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2920.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2920.vhd
new file mode 100644
index 000000000..54202f4c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2920.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2920.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s01b01x02p06n02i02920ent IS
+END c02s01b01x02p06n02i02920ent;
+
+ARCHITECTURE c02s01b01x02p06n02i02920arch OF c02s01b01x02p06n02i02920ent IS
+
+ procedure PX (signal I1 : in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+ procedure PX (signal I1 : in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end PX;
+
+ signal S1 : Bit := '1';
+ signal S2 : Integer := 5;
+ signal S3 : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ PX(S1,S3,Integer(5.3)) ; --- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c02s01b01x02p06n02i02920 - Type conversion is not allowed to associate an actual signal with a formal signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s01b01x02p06n02i02920arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2921.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2921.vhd
new file mode 100644
index 000000000..dd0beb2c4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2921.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2921.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02921ent IS
+END c02s02b00x00p04n01i02921ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02921arch OF c02s02b00x00p04n01i02921ent IS
+ function G return BOOLEAN;
+ function G return BOOLEAN is
+ generic ( Z : TIME ) ; -- Failure_here
+
+ -- ERROR : generic declaration not allowed in subprogram declarations
+ begin
+ return 'A'='a';
+ end G;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02921 - Generic declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02921arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2922.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2922.vhd
new file mode 100644
index 000000000..70d070f34
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2922.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2922.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02922ent IS
+END c02s02b00x00p04n01i02922ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02922arch OF c02s02b00x00p04n01i02922ent IS
+ function F return REAL;
+ function F return REAL is
+ port ( X : INTEGER ; Y : STRING ) ; -- Failure_here
+
+ -- ERROR : port declaration is not allowed whithin subprogram declaration
+ begin
+ return 3.5;
+ end F;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02922 - Port declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02922arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2923.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2923.vhd
new file mode 100644
index 000000000..d422da84d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2923.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2923.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02923ent IS
+END c02s02b00x00p04n01i02923ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02923arch OF c02s02b00x00p04n01i02923ent IS
+ function H return CHARACTER;
+ function H return CHARACTER is
+ signal S1 : BIT; -- Failure_here
+ -- ERROR : signal declaration not allowed in subprogram declaration
+ begin
+ return 'A';
+ end H;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02923 - Signal declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02923arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2924.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2924.vhd
new file mode 100644
index 000000000..65ba7abc1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2924.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2924.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02924ent IS
+END c02s02b00x00p04n01i02924ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02924arch OF c02s02b00x00p04n01i02924ent IS
+ function J (Z:BOOLEAN) return INTEGER;
+ function J (Z:BOOLEAN) return INTEGER is
+ entity E (PT:BIT) is -- Failure_here
+ -- ERROR : interface declaration not allowed in subprogram declarations
+ end E;
+ begin
+ return 10;
+ end J;
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02924 - Interface declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s02b00x00p04n01i02924arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2925.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2925.vhd
new file mode 100644
index 000000000..1fc9b259a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2925.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2925.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02925ent IS
+END c02s02b00x00p04n01i02925ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02925arch OF c02s02b00x00p04n01i02925ent IS
+ function L return POSITIVE;
+ function L return POSITIVE is
+ architecture AB of E is -- Failure_here
+ -- ERROR : body declaration not allowed in subprogram declarations
+ signal S : REAL;
+ begin
+ S <= 2.4;
+ end AB;
+ end L;
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02925 - Body declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s02b00x00p04n01i02925arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2926.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2926.vhd
new file mode 100644
index 000000000..77a95f50b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2926.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2926.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02926ent IS
+END c02s02b00x00p04n01i02926ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02926arch OF c02s02b00x00p04n01i02926ent IS
+ function M return BOOLEAN;
+ function M return BOOLEAN is
+ component C -- Failure_here
+ -- ERROR : component declaration not allowed in subprogram declarations
+ port ( I : out REAL ) ;
+ end component ;
+ begin
+ return FALSE;
+ end M;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02926 - Component declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02926arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2927.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2927.vhd
new file mode 100644
index 000000000..5545eb488
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2927.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2927.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02927ent IS
+END c02s02b00x00p04n01i02927ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02927arch OF c02s02b00x00p04n01i02927ent IS
+ function N return REAL;
+ function N return REAL is
+ package ch0202_p00401_07_pkg is -- Failure_here
+ -- ERROR : package declaration not allowed in subprogram declarations
+ type T is range 10 to 20;
+ end ch0202_p00401_07_pkg;
+ begin
+ return -5.5;
+ end N;
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02927 - Package declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s02b00x00p04n01i02927arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2928.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2928.vhd
new file mode 100644
index 000000000..b9f25ae3b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2928.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2928.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02928ent IS
+END c02s02b00x00p04n01i02928ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02928arch OF c02s02b00x00p04n01i02928ent IS
+ function O return STRING;
+ function O return STRING is
+ assert CHARACTER'('1')/=BIT'('1') report "oops"; -- Failure_here
+ -- ERROR : assert directive not allowed in subprogram declarations
+ begin
+ return "OKAY";
+ end O;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02928 - Assert declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02928arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2929.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2929.vhd
new file mode 100644
index 000000000..990daed57
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2929.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2929.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p04n01i02929ent IS
+END c02s02b00x00p04n01i02929ent;
+
+ARCHITECTURE c02s02b00x00p04n01i02929arch OF c02s02b00x00p04n01i02929ent IS
+ function Q return BIT;
+ function Q return BIT is
+ for all : COMP_NAME use entity (open) architecture(open);
+ end for; -- Failure_here
+ -- ERROR : configuration specification not allowed in subprogram declarations
+begin
+ return '0';
+end Q;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p04n01i02929 - Configuration declarations are not allowed within subprogram declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p04n01i02929arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2930.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2930.vhd
new file mode 100644
index 000000000..707151a09
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2930.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2930.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n03i02930ent IS
+END c02s02b00x00p07n03i02930ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02930arch OF c02s02b00x00p07n03i02930ent IS
+ function func1 (i,l:integer) return boolean;
+ -- ERROR: non-existent body for function func1
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02930 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02930_arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2931.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2931.vhd
new file mode 100644
index 000000000..584a89f8d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2931.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2931.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n05i02931ent IS
+END c02s02b00x00p07n05i02931ent;
+
+ARCHITECTURE c02s02b00x00p07n05i02931arch OF c02s02b00x00p07n05i02931ent IS
+ procedure PX (signal I1: in bit; signal I2: out bit); -- Failure_here
+BEGIN
+
+ BBB: block
+ procedure PX (signal I1: in bit; signal I2: out bit) is
+ begin
+ I2 <= I1;
+ end PX;
+ signal s1,s2: bit;
+ begin
+ PX(S1,S2);
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n05i02931 - Subprogram body and subprogram declaration must occur in the same declarative region."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n05i02931arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2933.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2933.vhd
new file mode 100644
index 000000000..5dda91ae9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2933.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2933.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n04i02933pkg is
+ procedure proc1 (x, y : integer);
+end c02s02b00x00p07n04i02933pkg;
+
+package body c02s02b00x00p07n04i02933pkg is
+ procedure proc1 (x, y :in integer) is -- Failure_here
+ begin
+ end proc1;
+end c02s02b00x00p07n04i02933pkg;
+
+ENTITY c02s02b00x00p07n04i02933ent IS
+END c02s02b00x00p07n04i02933ent;
+
+ARCHITECTURE c02s02b00x00p07n04i02933arch OF c02s02b00x00p07n04i02933ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n04i02933 - Subprogram specification in package body does not conform to the subprogram specification of the declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n04i02933arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2934.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2934.vhd
new file mode 100644
index 000000000..51a535ae3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2934.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2934.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n03i02934ent IS
+END c02s02b00x00p07n03i02934ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02934arch OF c02s02b00x00p07n03i02934ent IS
+ procedure PROC; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02934 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02934arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2935.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2935.vhd
new file mode 100644
index 000000000..add10b97d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2935.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2935.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n03i02935pkg is
+ procedure proc1 (i,l:integer; res: boolean);
+end c02s02b00x00p07n03i02935pkg;
+
+package body c02s02b00x00p07n03i02935pkg is
+ --ERROR : non-existent body for procedure proc1
+end c02s02b00x00p07n03i02935pkg;
+
+
+ENTITY c02s02b00x00p07n03i02935ent IS
+END c02s02b00x00p07n03i02935ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02935arch OF c02s02b00x00p07n03i02935ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02935 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02935arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2936.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2936.vhd
new file mode 100644
index 000000000..ac7ab049a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2936.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2936.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n03i02936pkg is
+ function func1 (i,l:integer) return boolean;
+end c02s02b00x00p07n03i02936pkg;
+
+package body c02s02b00x00p07n03i02936pkg is
+ -- ERROR: non-existent body for function func1
+end c02s02b00x00p07n03i02936pkg;
+
+
+ENTITY c02s02b00x00p07n03i02936ent IS
+END c02s02b00x00p07n03i02936ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02936arch OF c02s02b00x00p07n03i02936ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02936 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02936arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2937.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2937.vhd
new file mode 100644
index 000000000..9852eddd1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2937.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2937.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n03i02937pkg is
+end c02s02b00x00p07n03i02937pkg;
+
+package body c02s02b00x00p07n03i02937pkg is
+ procedure proc1 (i,l:integer; res: boolean);
+ -- ERROR: non-existent body for procedure proc1
+end c02s02b00x00p07n03i02937pkg;
+
+
+ENTITY c02s02b00x00p07n03i02937ent IS
+END c02s02b00x00p07n03i02937ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02937arch OF c02s02b00x00p07n03i02937ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02937 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02937arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2938.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2938.vhd
new file mode 100644
index 000000000..ed83264bf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2938.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2938.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n03i02938pkg is
+end c02s02b00x00p07n03i02938pkg;
+
+package body c02s02b00x00p07n03i02938pkg is
+ function func1 (i,l:integer) return boolean;
+ -- ERROR: non-existent body for function func1
+end c02s02b00x00p07n03i02938pkg;
+
+
+ENTITY c02s02b00x00p07n03i02938ent IS
+END c02s02b00x00p07n03i02938ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02938arch OF c02s02b00x00p07n03i02938ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02938 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02938arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2939.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2939.vhd
new file mode 100644
index 000000000..0c2f38c33
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2939.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2939.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n03i02939ent IS
+ procedure proc1 (i,l:integer; res: boolean);
+ EEND c02s02b00x00p07n03i02939ent;
+
+ ARCHITECTURE c02s02b00x00p07n03i02939arch OF c02s02b00x00p07n03i02939ent IS
+ -- ERROR: non-existent body for procedure proc1
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02939 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s02b00x00p07n03i02939arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2940.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2940.vhd
new file mode 100644
index 000000000..8e9822ce7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2940.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2940.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n03i02940ent IS
+ function func1 (i,l:integer) return boolean;
+END c02s02b00x00p07n03i02940ent;
+
+ARCHITECTURE c02s02b00x00p07n03i02940arch OF c02s02b00x00p07n03i02940ent IS
+ -- ERROR: non-existent body for function func1
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n03i02940 - Every subprogram declaration has to have a corresponding body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n03i02940arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2941.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2941.vhd
new file mode 100644
index 000000000..35ecda6e2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2941.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2941.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n04i02941pkg is
+ procedure proc1 (x, y : integer);
+end c02s02b00x00p07n04i02941pkg;
+
+package body c02s02b00x00p07n04i02941pkg is
+ procedure proc1 (x : integer; y :integer) is --Failure_here
+ begin
+ end proc1;
+end c02s02b00x00p07n04i02941pkg;
+
+ENTITY c02s02b00x00p07n04i02941ent IS
+END c02s02b00x00p07n04i02941ent;
+
+ARCHITECTURE c02s02b00x00p07n04i02941arch OF c02s02b00x00p07n04i02941ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n04i02941 - Subprogram specification in package body does not conform to the subprogram specification of the declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n04i02941arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2942.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2942.vhd
new file mode 100644
index 000000000..9aebf5755
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2942.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2942.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s02b00x00p07n04i02942pkg is
+ procedure proc1 (x:integer; y : integer);
+end c02s02b00x00p07n04i02942pkg;
+
+package body c02s02b00x00p07n04i02942pkg is
+ procedure proc1 (x, y :in integer) is --Failure_here
+ begin
+ end proc1;
+end c02s02b00x00p07n04i02942pkg;
+
+ENTITY c02s02b00x00p07n04i02942ent IS
+END c02s02b00x00p07n04i02942ent;
+
+ARCHITECTURE c02s02b00x00p07n04i02942arch OF c02s02b00x00p07n04i02942ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n04i02942 - Subprogram specification in package body does not conform to the subprogram specification of the declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n04i02942arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2943.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2943.vhd
new file mode 100644
index 000000000..20385ed54
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2943.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2943.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n04i02943ent IS
+ function F (i,j : integer) return integer;
+ -- Failure_here: Function body spec does not conform to declaration spec.
+ function F (i : integer; j : integer) return integer is
+ begin
+ return (i + j);
+ end;
+END c02s02b00x00p07n04i02943ent;
+
+ARCHITECTURE c02s02b00x00p07n04i02943arch OF c02s02b00x00p07n04i02943ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n04i02943 - Subprogram specification in package body does not conform to the subprogram specification of the declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n04i02943arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2944.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2944.vhd
new file mode 100644
index 000000000..e91329ebf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2944.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2944.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p07n04i02944ent IS
+ procedure P (i,j : inout integer);
+
+ -- Failure_here: Procedure body spec does not conform to declaration spec.
+ procedure P (i : inout integer; j : inout integer) is
+ begin
+ j := i;
+ end;
+END c02s02b00x00p07n04i02944ent;
+
+ARCHITECTURE c02s02b00x00p07n04i02944arch OF c02s02b00x00p07n04i02944ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p07n04i02944 - Subprogram specification in package body does not conform to the subprogram specification of the declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p07n04i02944arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2946.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2946.vhd
new file mode 100644
index 000000000..3528215e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2946.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2946.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p08n02i02946ent IS
+END c02s02b00x00p08n02i02946ent;
+
+ARCHITECTURE c02s02b00x00p08n02i02946arch OF c02s02b00x00p08n02i02946ent IS
+ function func1 (a1 : real; b1 : integer:= 12) return integer;
+ function func1 (a1 : real; b1 : integer:= 12) return integer is
+ begin
+ end func2; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p08n02i02946 - Designator at the end of subprogram body is not the same as the designator of the subprogram."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p08n02i02946arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2947.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2947.vhd
new file mode 100644
index 000000000..0a259e04c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2947.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2947.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p08n02i02947ent IS
+END c02s02b00x00p08n02i02947ent;
+
+ARCHITECTURE c02s02b00x00p08n02i02947arch OF c02s02b00x00p08n02i02947ent IS
+ procedure proc1 (A:bit; B: out boolean) is
+ begin
+ if A = '1' then
+ B := TRUE;
+ else
+ B := FALSE;
+ end if;
+ -- Failure_here : label must be the same as subprogram identifier
+ end proc;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p08n02i02947 - Designator at the end of subprogram body is not the same as the designator of the subprogram."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p08n02i02947arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2953.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2953.vhd
new file mode 100644
index 000000000..8eaf9de9a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2953.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2953.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p24n01i02953ent IS
+END c02s02b00x00p24n01i02953ent;
+
+ARCHITECTURE c02s02b00x00p24n01i02953arch OF c02s02b00x00p24n01i02953ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable a1 : integer := func1 (1); --Failure_here
+ function func1 (x: in integer) return integer is
+ begin
+ return 12;
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p24n01i02953 - Subprogram declaration should appear before call of subprogram."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p24n01i02953arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2954.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2954.vhd
new file mode 100644
index 000000000..feedf79b0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2954.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2954.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p02n01i02954ent IS
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+
+ procedure is --- Failure_here ; Missing subprogram specification
+begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+end;
+END c02s02b00x00p02n01i02954ent;
+
+ARCHITECTURE c02s02b00x00p02n01i02954arch OF c02s02b00x00p02n01i02954ent IS
+ signal S1 : Bit := '1';
+ signal S2 : Integer := 5;
+ signal S3 : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ PX(S1,S3,S2);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p02n01i02954 - Missing subprogram specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p02n01i02954arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2956.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2956.vhd
new file mode 100644
index 000000000..1e0d0a5b8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2956.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2956.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p02n01i02956ent IS
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ --- Failure_here
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end;
+END c02s02b00x00p02n01i02956ent;
+
+ARCHITECTURE c02s02b00x00p02n01i02956arch OF c02s02b00x00p02n01i02956ent IS
+ signal S1 : Bit := '1';
+ signal S2 : Integer := 5;
+ signal S3 : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ PX(S1,S3,S2);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p02n01i02956 - Missing keyword begin."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p02n01i02956arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2957.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2957.vhd
new file mode 100644
index 000000000..24dc01cc0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2957.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2957.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p02n01i02957ent IS
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ ; --Failure here
+ END c02s02b00x00p02n01i02957ent;
+
+ ARCHITECTURE c02s02b00x00p02n01i02957arch OF c02s02b00x00p02n01i02957ent IS
+ signal S1 : Bit := '1';
+ signal S2 : Integer := 5;
+ signal S3 : Bit;
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ PX(S1,S3,S2);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p02n01i02957 - Missing keyword end."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s02b00x00p02n01i02957arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2958.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2958.vhd
new file mode 100644
index 000000000..351ea0f8d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2958.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2958.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s02b00x00p02n01i02958ent IS
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end --Failure here
+END c02s02b00x00p02n01i02958ent;
+
+ARCHITECTURE c02s02b00x00p02n01i02958arch OF c02s02b00x00p02n01i02958ent IS
+ signal S1 : Bit := '1';
+ signal S2 : Integer := 5;
+ signal S3 : Bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ PX(S1,S3,S2);
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c02s02b00x00p02n01i02958 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s02b00x00p02n01i02958arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc296.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc296.vhd
new file mode 100644
index 000000000..81baab082
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc296.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc296.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b03x01p01n04i00296ent IS
+END c03s01b03x01p01n04i00296ent;
+
+ARCHITECTURE c03s01b03x01p01n04i00296arch OF c03s01b03x01p01n04i00296ent IS
+ type some_time is range 1 to 100
+ units
+ fs; -- base unit
+ x = 10 fs;
+ y = 10 x;
+ end units;
+ constant z : some_time := 10 y;
+ signal S : integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S <= 10 after z;
+ wait for 20 ns;
+ assert FALSE
+ report "***FAILED TEST: c03s01b03x01p01n04i00296 - The delay specification is not of type TIME."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b03x01p01n04i00296arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2963.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2963.vhd
new file mode 100644
index 000000000..e31dd1500
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2963.vhd
@@ -0,0 +1,119 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2963.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s03b00x00p03n01i02963pkg is
+ FUNCTION boo (P1:integer;X:bit:='1') RETURN integer;
+ FUNCTION boo (P2:integer;X:bit_vector:="1010") RETURN integer;
+ FUNCTION boo (P3:integer;X:boolean:=TRUE) RETURN integer;
+ FUNCTION boo (P4:integer;X:character:='Z') RETURN integer;
+ FUNCTION boo (P5:integer;X:integer:=55) RETURN integer;
+ FUNCTION boo (P6:integer;X:real:=10.01) RETURN integer;
+ FUNCTION boo (P7:integer;X:string:="STRING") RETURN integer;
+ FUNCTION boo (P8:integer;X:time:=10 ns) RETURN integer;
+end c02s03b00x00p03n01i02963pkg;
+
+package bodyc02s03b00x00p03n01i02963pkg is
+ FUNCTION boo (P1:integer;X:bit:='1') RETURN integer IS
+ BEGIN
+ assert false report "boo with BIT param" severity note;
+ RETURN 1;
+ END;
+
+ FUNCTION boo (P2:integer;X:bit_vector:="1010") RETURN integer IS
+ BEGIN
+ assert false report "boo with BIT_VECTOR param" severity note;
+ RETURN 2;
+ END;
+
+ FUNCTION boo (P3:integer;X:boolean:=TRUE) RETURN integer IS
+ BEGIN
+ assert false report "boo with BOOLEAN param" severity note;
+ RETURN 3;
+ END;
+
+ FUNCTION boo (P4:integer;X:character:='Z') RETURN integer IS
+ BEGIN
+ assert false report "boo with CHARACTER param" severity note;
+ RETURN 4;
+ END;
+
+ FUNCTION boo (P5:integer;X:integer:=55) RETURN integer IS
+ BEGIN
+ assert false report "boo with INTEGER param" severity note;
+ RETURN 5;
+ END;
+
+ FUNCTION boo (P6:integer;X:real:=10.01) RETURN integer IS
+ BEGIN
+ assert false report "boo with REAL param" severity note;
+ RETURN 6;
+ END;
+
+ FUNCTION boo (P7:integer;X:string:="STRING") RETURN integer IS
+ BEGIN
+ assert false report "boo with STRING param" severity note;
+ RETURN 7;
+ END;
+
+ FUNCTION boo (P8:integer;X:time:=10 ns) RETURN integer IS
+ BEGIN
+ assert false report "boo with TIME param" severity note;
+ RETURN 8;
+ END;
+end c02s03b00x00p03n01i02963pkg;
+
+ENTITY c02s03b00x00p03n01i02963ent IS
+ PORT (b1,b2,b3,b4,b5,b6,b7,b8: INOUT integer);
+END c02s03b00x00p03n01i02963ent;
+
+use work.c02s03b00x00p03n01i02963pkg.all;
+ARCHITECTURE c02s03b00x00p03n01i02963arch OF c02s03b00x00p03n01i02963ent IS
+ SIGNAL c1,c2,c3,c4,c5,c6,c7,c8 : INTEGER;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ WAIT FOR 1 ns;
+ c1 <= boo(b1);
+ c2 <= boo(b2);
+ c3 <= boo(b3);
+ c4 <= boo(b4);
+ c5 <= boo(b5);
+ c6 <= boo(b6);
+ c7 <= boo(b7);
+ c8 <= boo(b8);
+ wait for 5 ns;
+
+ assert FALSE
+ report "***FAILED TEST: c02s03b00x00p03n01i02963 - A call to an overloaded subprogram is ambiguous."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s03b00x00p03n01i02963arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2965.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2965.vhd
new file mode 100644
index 000000000..f3a70c8f8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2965.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2965.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s03b00x00p03n01i02965pkg is
+ procedure proc1 (x:integer);
+ procedure proc1 (x:integer); -- Failure_here
+end c02s03b00x00p03n01i02965pkg;
+
+package body c02s03b00x00p03n01i02965pkg is
+ procedure proc1 (x:integer) is
+ begin
+ end proc1;
+end c02s03b00x00p03n01i02965pkg;
+
+
+ENTITY c02s03b00x00p03n01i02965ent IS
+END c02s03b00x00p03n01i02965ent;
+
+ARCHITECTURE c02s03b00x00p03n01i02965arch OF c02s03b00x00p03n01i02965ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+
+ assert FALSE
+ report "***FAILED TEST: c02s03b00x00p03n01i02965 - A call to an overloaded subprogram is ambiguous."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s03b00x00p03n01i02965
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2970.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2970.vhd
new file mode 100644
index 000000000..c7e37bcb6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2970.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2970.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s03b01x00p02n01i02970ent IS
+END c02s03b01x00p02n01i02970ent;
+
+ARCHITECTURE c02s03b01x00p02n01i02970arch OF c02s03b01x00p02n01i02970ent IS
+ function "not" (a1 : real; b1 : integer:= 12) return integer is --Failure_here
+ begin
+ return 12;
+ end "not";
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s03b01x00p02n01i02970 - The subprogram specification of a unary operator must have only a single parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s03b01x00p02n01i02970arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2971.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2971.vhd
new file mode 100644
index 000000000..1bd6f1186
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2971.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2971.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c02s03b01x00p02n02i02971ent IS
+END c02s03b01x00p02n02i02971ent;
+
+ARCHITECTURE c02s03b01x00p02n02i02971arch OF c02s03b01x00p02n02i02971ent IS
+ function "and" (a1 : real) return integer is --Failure here
+ begin
+ return 12;
+ end "and";
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s03b01x00p02n02i02971 - The subprogram specification of a binary operator must have two parameters."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s03b01x00p02n02i02971arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2983.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2983.vhd
new file mode 100644
index 000000000..b8326a700
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2983.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2983.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02983pkg is
+
+ ; --Failure here
+
+ ENTITY c02s05b00x00p02n01i02983ent IS
+ END c02s05b00x00p02n01i02983ent;
+
+ ARCHITECTURE c02s05b00x00p02n01i02983arch OF c02s05b00x00p02n01i02983ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02983 - Missing keyword end."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s05b00x00p02n01i02983arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2984.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2984.vhd
new file mode 100644
index 000000000..325c11aeb
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2984.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2984.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package is --Failure here
+
+end;
+
+ENTITY c02s05b00x00p02n01i02984ent IS
+END c02s05b00x00p02n01i02984ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02984arch OF c02s05b00x00p02n01i02984ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02984 - Missing identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02984arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2985.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2985.vhd
new file mode 100644
index 000000000..30acfe537
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2985.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2985.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02985pkg is
+
+end --Failure here
+
+ ENTITY c02s05b00x00p02n01i02985ent IS
+END c02s05b00x00p02n01i02985ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02985arch OF c02s05b00x00p02n01i02985ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02985 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02985arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2986.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2986.vhd
new file mode 100644
index 000000000..b1d6961c8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2986.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2986.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p05n01i02986ent is
+end c02s05b00x00p05n01i02986en;
+
+ENTITY c02s05b00x00p05n01i02986ent IS
+END c02s05b00x00p05n01i02986ent;
+
+ARCHITECTURE c02s05b00x00p05n01i02986arch OF c02s05b00x00p05n01i02986ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p05n01i02986 - The simple name at the end of package declaration does not repeat the identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p05n01i02986arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2991.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2991.vhd
new file mode 100644
index 000000000..1a2d9cb39
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2991.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2991.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02991pkg is
+ generic ( N : Natural := 2 ) ; -- Failure_here
+ -- ERROR: GENERIC DECLARATIONS NOT ALLOWED IN PACKAGES
+end c02s05b00x00p02n01i02991pkg;
+
+ENTITY c02s05b00x00p02n01i02991ent IS
+END c02s05b00x00p02n01i02991ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02991arch OF c02s05b00x00p02n01i02991ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02991 - Generic declarations are not allowed in package declarations."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02991arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2992.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2992.vhd
new file mode 100644
index 000000000..c782240bf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2992.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2992.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02992pkg is
+ architecture AB of E is -- Failure_here
+ -- ERROR: BODY DECLARATIONS ARE NOT ALLOWED IN PACKAGES
+ begin
+ process
+ begin
+ null;
+ end process;
+ end AB;
+ end c02s05b00x00p02n01i02992pkg;
+
+ ENTITY c02s05b00x00p02n01i02992ent IS
+ END c02s05b00x00p02n01i02992ent;
+
+ ARCHITECTURE c02s05b00x00p02n01i02992arch OF c02s05b00x00p02n01i02992ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02992 - Body declarations are not allowed within package declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s05b00x00p02n01i02992arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2993.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2993.vhd
new file mode 100644
index 000000000..d30c9b34c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2993.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2993.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02993pkg is
+ package P2 is -- Failure_here
+ -- ERROR: PACKAGE DECLARATIONS ARE NOT ALLOWED IN PACKAGES
+ type INIT_1 is RANGE 1 to 10;
+ end P2;
+ end c02s05b00x00p02n01i02993pkg;
+
+ ENTITY c02s05b00x00p02n01i02993ent IS
+ END c02s05b00x00p02n01i02993ent;
+
+ ARCHITECTURE c02s05b00x00p02n01i02993arch OF c02s05b00x00p02n01i02993ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02993 - Package declarations are not allowed within packages."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s05b00x00p02n01i02993arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2994.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2994.vhd
new file mode 100644
index 000000000..b11880e0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2994.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2994.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02994pkg is
+ assert V2 >= 10; -- failure_here
+ -- ERROR: ASSERT DIRECTIVES ARE NOT ALLOWED IN PACKAGES
+end c02s05b00x00p02n01i02994pkg;
+
+ENTITY c02s05b00x00p02n01i02994ent IS
+END c02s05b00x00p02n01i02994ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02994arch OF c02s05b00x00p02n01i02994ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02994 - Package declarations are not allowed within packages."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02994arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2995.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2995.vhd
new file mode 100644
index 000000000..8b89300fa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2995.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2995.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02995pkg is
+ for BLOCK_LABEL1 -- Failure_here
+-- ERROR: CONFIGURATION SPECIFICATIONS NOT ALLOWED IN PACKAGES
+end for;
+end c02s05b00x00p02n01i02995pkg;
+
+ENTITY c02s05b00x00p02n01i02995ent IS
+END c02s05b00x00p02n01i02995ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02995arch OF c02s05b00x00p02n01i02995ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02995 - Configuration Specifications are not allowed in packages."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02995arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2996.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2996.vhd
new file mode 100644
index 000000000..2bb016fb1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2996.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2996.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02996pkg is
+ port (PT : BOOLEAN); -- Failure_here
+ -- ERROR: PORT DECLARATIONS ARE NOT ALLOWED IN PACKAGES
+ type INIT_2 is range 1 to 10;
+end c02s05b00x00p02n01i02996pkg;
+
+ENTITY c02s05b00x00p02n01i02996ent IS
+END c02s05b00x00p02n01i02996ent;
+
+ARCHITECTURE c02s05b00x00p02n01i02996arch OF c02s05b00x00p02n01i02996ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02996 - Port declarations are not allowed in packages."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s05b00x00p02n01i02996arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2997.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2997.vhd
new file mode 100644
index 000000000..4191aaecc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2997.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2997.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s05b00x00p02n01i02997pkg is
+ entity E is -- Failure_here
+ -- ERROR: INTERFACE DECLARATIONS ARE NOT ALLOWED IN PACKAGES
+ port (PT: BOOLEAN) ;
+ end E;
+ end c02s05b00x00p02n01i02997pkg;
+
+ ENTITY c02s05b00x00p02n01i02997ent IS
+ END c02s05b00x00p02n01i02997ent;
+
+ ARCHITECTURE c02s05b00x00p02n01i02997arch OF c02s05b00x00p02n01i02997ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s05b00x00p02n01i02997 - Interface declarations are not allowed within package declarations."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s05b00x00p02n01i02997arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2998.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2998.vhd
new file mode 100644
index 000000000..6861fef3a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2998.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2998.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p02n01i02998pkg is
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+end c02s06b00x00p02n01i02998pkg;
+
+package body c02s06b00x00p02n01i02998pkg is
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end PX;
+ ;
+
+ ENTITY c02s06b00x00p02n01i02998ent IS
+ END c02s06b00x00p02n01i02998ent;
+
+ ARCHITECTURE c02s06b00x00p02n01i02998arch OF c02s06b00x00p02n01i02998ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p02n01i02998 - Missing keyword end."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c02s06b00x00p02n01i02998arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2999.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2999.vhd
new file mode 100644
index 000000000..c58f15246
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2999.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc2999.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p02n01i02999pkg is
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+end c02s06b00x00p02n01i02999pkg;
+
+package body is --Failure here
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end PX;
+end;
+
+ENTITY c02s06b00x00p02n01i02999ent IS
+END c02s06b00x00p02n01i02999ent;
+
+ARCHITECTURE c02s06b00x00p02n01i02999arch OF c02s06b00x00p02n01i02999ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p02n01i02999 - Missing pcakage simple name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p02n01i02999arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3.vhd
new file mode 100644
index 000000000..1ea0a995d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p03n01i00003ent IS
+END c04s01b00x00p03n01i00003ent;
+
+ARCHITECTURE c04s01b00x00p03n01i00003arch OF c04s01b00x00p03n01i00003ent IS
+ type t1 (l,m,n); -- Error: missing 'is'
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p03n01i00003 - The reserved word 'is' is missing in the type declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p03n01i00003arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc300.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc300.vhd
new file mode 100644
index 000000000..30ba17c2d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc300.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc300.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p03n01i00300ent IS
+END c03s01b04x00p03n01i00300ent;
+
+ARCHITECTURE c03s01b04x00p03n01i00300arch OF c03s01b04x00p03n01i00300ent IS
+ type REAL1 is range REAL'LOW-1.0 to REAL'HIGH+1.0;
+BEGIN
+ TESTING: PROCESS
+ variable temp : REAL1 := REAL'LOW - 1.0;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p03n01i00300 - Range exceeds implementation."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p03n01i00300arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3000.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3000.vhd
new file mode 100644
index 000000000..d064262cf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3000.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3000.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p02n01i03000pkg is
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer);
+end c02s06b00x00p02n01i03000pkg;
+
+package body c02s06b00x00p02n01i03000pkg is
+ procedure PX (signal I1: in Bit; signal I2 : out Bit; signal I3 : inout Integer) is
+ begin
+ assert (I1 /= '1')
+ report "No failure on test" ;
+ assert (I3 /= 5)
+ report "No failure on test" ;
+ end PX;
+end --Failure here
+
+ ENTITY c02s06b00x00p02n01i03000ent IS
+END c02s06b00x00p02n01i03000ent;
+
+ARCHITECTURE c02s06b00x00p02n01i03000arch OF c02s06b00x00p02n01i03000ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p02n01i03000 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p02n01i03000arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3002.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3002.vhd
new file mode 100644
index 000000000..716e839f9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3002.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3002.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p05n02i03002pkg is
+end c02s06b00x00p05n02i03002pkg;
+
+package body c02s06b00x00p05n02i03002pkg is
+end c02s06b00x00p05n02i03002; --Failure here
+
+ENTITY c02s06b00x00p05n02i03002ent IS
+END c02s06b00x00p05n02i03002ent;
+
+ARCHITECTURE c02s06b00x00p05n02i03002arch OF c02s06b00x00p05n02i03002ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p05n02i03002- The simple name at the end of a package body must be the same as the package identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p05n02i03002arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3003.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3003.vhd
new file mode 100644
index 000000000..fe57710d6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3003.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3003.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p05n01i03003pkg is
+end c02s06b00x00p05n01i03003pkg;
+
+package body c02s06b00x00p05n01i03003 is --Failure here
+end c02s06b00x00p05n01i03003;
+
+
+ENTITY c02s06b00x00p05n01i03003ent IS
+END c02s06b00x00p05n01i03003ent;
+
+ARCHITECTURE c02s06b00x00p05n01i03003arch OF c02s06b00x00p05n01i03003ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p05n01i03003 - The simple name at the start of a package body must repeat the package identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p05n01i03003arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3004.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3004.vhd
new file mode 100644
index 000000000..38b223e0d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3004.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3004.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p06n02i03004pkg is
+ constant C1 : integer := 10;
+end c02s06b00x00p06n02i03004pkg;
+
+package body c02s06b00x00p06n02i03004pkg is
+ constant C2 : integer := 0;
+end;
+
+use work.c02s06b00x00p06n02i03004pkg.all;
+ENTITY c02s06b00x00p06n02i03004ent IS
+END c02s06b00x00p06n02i03004ent;
+
+ARCHITECTURE c02s06b00x00p06n02i03004arch OF c02s06b00x00p06n02i03004ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable A1 : integer := work.c02s06b00x00p06n02i03004pkg.C1;
+ variable A2 : integer := workc02s06b00x00p06n02i03004pkg.C2; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p06n02i03004 - Items declared in the body of the package cannot be made visible outside the package body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p06n02i03004arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3006.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3006.vhd
new file mode 100644
index 000000000..1edffb7a4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3006.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3006.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p07n01i03006pkg is
+ constant X : real;
+end c02s06b00x00p07n01i03006pkg;
+
+package bodyc02s06b00x00p07n01i03006pkg is
+ constant X1: real := 1.0; --Failure_here
+end c02s06b00x00p07n01i03006pkg;
+
+ENTITY c02s06b00x00p07n01i03006ent IS
+END c02s06b00x00p07n01i03006ent;
+
+ARCHITECTURE c02s06b00x00p07n01i03006arch OF c02s06b00x00p07n01i03006ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p07n01i03006 - The deferred constant X does not have a full declaration in the package body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p07n01i03006arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3007.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3007.vhd
new file mode 100644
index 000000000..353cfc95c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3007.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3007.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p07n01i03007pkg is
+ constant X : real;
+end c02s06b00x00p07n01i03007pkg;
+
+package body c02s06b00x00p07n01i03007pkg is
+ constant X: integer := 1; --Failure_here
+end c02s06b00x00p07n01i03007pkg;
+
+ENTITY c02s06b00x00p07n01i03007ent IS
+END c02s06b00x00p07n01i03007ent;
+
+ARCHITECTURE c02s06b00x00p07n01i03007arch OF c02s06b00x00p07n01i03007ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p07n01i03007 - The subtype of constant in the full declaratio does not conform to that given in the deferred constant declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p07n01i03007arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3008.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3008.vhd
new file mode 100644
index 000000000..8c002d596
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3008.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3008.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p07n01i03008pkg is
+ constant C : integer;
+end c02s06b00x00p07n01i03008pkg;
+
+package body c02s06b00x00p07n01i03008pkg is
+ subtype S1 is Integer;
+ constant C : S1 := 0; --Failure_here
+end c02s06b00x00p07n01i03008pkg;
+
+ENTITY c02s06b00x00p07n01i03008ent IS
+END c02s06b00x00p07n01i03008ent;
+
+ARCHITECTURE c02s06b00x00p07n01i03008arch OF c02s06b00x00p07n01i03008ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p07n01i03008 - The subtype of deferred constant C does not conform to that given in the full declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p07n01i03008arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3009.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3009.vhd
new file mode 100644
index 000000000..399042a9f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3009.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3009.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p07n01i03009pkg is
+ subtype S1 is Integer;
+ subtype S2 is Integer;
+ constant C : S1;
+end c02s06b00x00p07n01i03009pkg;
+
+package body c02s06b00x00p07n01i03009pkg is
+ constant C : S2 := 0; --Failure_here
+end c02s06b00x00p07n01i03009pkg;
+
+ENTITY c02s06b00x00p07n01i03009ent IS
+END c02s06b00x00p07n01i03009ent;
+
+ARCHITECTURE c02s06b00x00p07n01i03009arch OF c02s06b00x00p07n01i03009ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p07n01i03009 - The subtype of deferred constant does not conform to that given in the deferred constant declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p07n01i03009arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3011.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3011.vhd
new file mode 100644
index 000000000..74b90d7f1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3011.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3011.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p08n01i03011pkg is
+ constant X1 : real;
+end c02s06b00x00p08n01i03011pkg;
+
+package body c02s06b00x00p08n01i03011pkg is
+ constant X1 : real := X1; --Failure here
+end c02s06b00x00p08n01i03011pkg;
+
+
+ENTITY c02s06b00x00p08n01i03011ent IS
+END c02s06b00x00p08n01i03011ent;
+
+ARCHITECTURE c02s06b00x00p08n01i03011arch OF c02s06b00x00p08n01i03011ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p08n01i03011 - A name that denotes the name of a deferred constant can appear, before the full declaration only in the default expression for a local generic, local port, or a formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p08n01i03011arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3012.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3012.vhd
new file mode 100644
index 000000000..e993d8256
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3012.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3012.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c02s06b00x00p08n01i03012pkg is
+ constant X1 : integer;
+ constant X2 : integer;
+end c02s06b00x00p08n01i03012pkg;
+
+package body c02s06b00x00p08n01i03012pkg is
+ constant X1: integer := X2; --Failure_here
+ constant X2: integer := 1;
+end c02s06b00x00p08n01i03012pkg;
+
+
+ENTITY c02s06b00x00p08n01i03012ent IS
+END c02s06b00x00p08n01i03012ent;
+
+ARCHITECTURE c02s06b00x00p08n01i03012arch OF c02s06b00x00p08n01i03012ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c02s06b00x00p08n01i03012 - A name that denotes the name of a deferred constant can appear, before the full declaration only in the default expression for a local generic, local port, or a formal parameter."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c02s06b00x00p08n01i03012arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3013.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3013.vhd
new file mode 100644
index 000000000..9809109f5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3013.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3013.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+use work.all;
+ENTITY c11s01b00x00p07n01i03013ent IS
+END c11s01b00x00p07n01i03013ent;
+use work.c11s01b00x00p07n01i03013pkg.all;
+ARCHITECTURE c11s01b00x00p07n01i03013arch OF c11s01b00x00p07n01i03013ent IS
+ signal S1 : MVL; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s01b00x00p07n01i03013 - Symbol not defined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+END c11s01b00x00p07n01i03013arch;
+
+
+package c11s01b00x00p07n01i03013pkg is
+ type MVL is ('0', '1', 'X', 'Z');
+end c11s01b00x00p07n01i03013pkg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3014.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3014.vhd
new file mode 100644
index 000000000..4433afc36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3014.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3014.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c11s01b00x00p08n03i03014ent is
+ procedure test;
+end c11s01b00x00p08n03i03014ent;
+
+package body c11s01b00x00p08n03i03014ent is
+ procedure test is
+ begin
+ assert false
+ report "Duplicate primary unit name allowed in same library -- test fails."
+ severity note ;
+ end test;
+end c11s01b00x00p08n03i03014ent;
+
+use work.c11s01b00x00p08n03i03014ent.all;
+ENTITY c11s01b00x00p08n03i03014ent IS
+END c11s01b00x00p08n03i03014ent;
+
+ARCHITECTURE c11s01b00x00p08n03i03014arch OF c11s01b00x00p08n03i03014ent IS
+
+BEGIN
+ c11s01b00x00p08n03i03014ent.test;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s01b00x00p08n03i03014d - Duplicate primary unit name is not allowed in same library."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s01b00x00p08n03i03014arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3015.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3015.vhd
new file mode 100644
index 000000000..7bce48a63
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3015.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3015.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c11s02b00x00p05n02i03015ent IS
+ library work; -- ERROR:
+ -- failure_here.
+END c11s02b00x00p05n02i03015ent;
+
+ARCHITECTURE c11s02b00x00p05n02i03015arch OF c11s02b00x00p05n02i03015ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s02b00x00p05n02i03015 - Library clause should appear as part of a context clause at the beginning of a design unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s02b00x00p05n02i03015arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3017.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3017.vhd
new file mode 100644
index 000000000..19489bd69
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3017.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3017.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+library lib01;
+use lib01.c11s02b00x00p05n03i03017pkg.all;
+
+ENTITY c11s02b00x00p05n03i03017ent IS
+ assert my_bool
+ report "Library clause preceeding entity is valid in entity scope."
+ severity note;
+END c11s02b00x00p05n03i03017ent;
+
+
+use lib01.c11s02b00x00p05n03i03017pkg.all; -- lib01 unknown Failed_here
+ENTITY c11s02b00x00p05n03i03017ent IS
+ assert my_bool
+ report "Library clause is valid outside entity scope - test fails."
+ severity note ;
+END c11s02b00x00p05n03i03017ent;
+
+ARCHITECTURE c11s02b00x00p05n03i03017arch OF c11s02b00x00p05n03i03017ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s02b00x00p05n03i03017 - Library clause only extends to the end of the declatative region associated with the design unit"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s02b00x00p05n03i03017arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3019.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3019.vhd
new file mode 100644
index 000000000..26e01d414
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3019.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3019.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package body c11s02b00x00p14n01i03019pkg is --- Failure_here
+ type MVL2 is ('0','1','X','Z') ;
+end c11s02b00x00p14n01i03019pkg;
+
+ENTITY c11s02b00x00p14n01i03019ent IS
+END c11s02b00x00p14n01i03019ent;
+
+ARCHITECTURE c11s02b00x00p14n01i03019arch OF c11s02b00x00p14n01i03019ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s02b00x00p14n01i03019 - Secondary unit must reside in the same library as the primary unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s02b00x00p14n01i03019arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc302.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc302.vhd
new file mode 100644
index 000000000..3c16e1d25
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc302.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc302.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00302ent IS
+END c03s01b04x00p04n01i00302ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00302arch OF c03s01b04x00p04n01i00302ent IS
+ type REAL1 is range 1.0 to 9; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00302 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00302arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3020.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3020.vhd
new file mode 100644
index 000000000..d8fbae01b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3020.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3020.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+library ; --- Failure_here
+
+ENTITY c11s02b00x00p02n01i03020ent IS
+END c11s02b00x00p02n01i03020ent;
+
+ARCHITECTURE c11s02b00x00p02n01i03020arch OF c11s02b00x00p02n01i03020ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s02b00x00p02n01i03020 - Missing library logical name list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s02b00x00p02n01i03020arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3021.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3021.vhd
new file mode 100644
index 000000000..789ec3d78
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3021.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3021.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+library STANDARD, "-" ; --- Failure_here
+use STD.STANDARD.all;
+
+ENTITY c11s02b00x00p02n01i03021ent IS
+END c11s02b00x00p02n01i03021ent;
+
+ARCHITECTURE c11s02b00x00p02n01i03021arch OF c11s02b00x00p02n01i03021ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s02b00x00p02n01i03021 - Improper logical name list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s02b00x00p02n01i03021arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3025.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3025.vhd
new file mode 100644
index 000000000..319fb833c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3025.vhd
@@ -0,0 +1,64 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3025.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c11s04b00x00p07n03i03025pkg_p is
+end c11s04b00x00p07n03i03025p;
+
+use work.c11s04b00x00p07n03i03025pkg_p.all;
+package c11s04b00x00p07n03i03025pkg_pp is
+end c11s04b00x00p07n03i03025pkg_pp;
+
+use work.c11s04b00x00p07n03i03025pkg_pp.all;
+package c11s04b00x00p07n03i03025pkg_ppp is
+end c11s04b00x00p07n03i03025pkg_ppp;
+
+
+package c11s04b00x00p07n03i03025pkg_p is
+end c11s04b00x00p07n03i03025pkg_p;
+
+use work.c11s04b00x00p07n03i03025pkg_pp.all; -- Failure_here
+package c11s04b00x00p07n03i03025pkg_ppp is
+end c11s04b00x00p07n03i03025pkg_ppp;
+
+ENTITY c11s04b00x00p07n03i03025ent IS
+END c11s04b00x00p07n03i03025ent;
+
+ARCHITECTURE c11s04b00x00p07n03i03025arch OF c11s04b00x00p07n03i03025ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p07n03i03025 - Package ch1104_p00703_01_pkg_pp has been changed since last analysis."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p07n03i03025arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3026.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3026.vhd
new file mode 100644
index 000000000..d4239f991
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3026.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3026.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c11s04b00x00p02n01i03026ent IS
+END c11s04b00x00p02n01i03026ent;
+
+
+configuration c11s04b00x00p02n01i03026cfg of c11s04b00x00p02n01i03026ent is
+ for c11s04b00x00p02n01i03026arch
+ end for;
+end c11s04b00x00p02n01i03026cfg;
+
+ARCHITECTURE c11s04b00x00p02n01i03026arch OF c11s04b00x00p02n01i03026ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p02n01i03026 - Architecture body must be analyzed before the configuration body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p02n01i03026arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3027.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3027.vhd
new file mode 100644
index 000000000..f60292258
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3027.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3027.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c11s04b00x00p02n01i03027ent IS
+END c11s04b00x00p02n01i03027ent;
+
+ARCHITECTURE c11s04b00x00p02n01i03027arch OF c11s04b00x00p02n01i03027ent IS
+ use work.unknown.all; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p02n01i03027 - Unknown entity."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p02n01i03027arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3028.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3028.vhd
new file mode 100644
index 000000000..ca9f8d2f8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3028.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3028.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+use work.c11s04b00x00p02n01i03028pkg_a.all;
+ENTITY c11s04b00x00p02n01i03028ent IS
+END c11s04b00x00p02n01i03028ent;
+
+package c11s04b00x00p02n01i03028pkg_a is
+end c11s04b00x00p02n01i03028pkg_a;
+
+ARCHITECTURE c11s04b00x00p02n01i03028arch OF c11s04b00x00p02n01i03028ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p02n01i03028 - Primary unit must be analyzed before the analysis of the unit that references it."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p02n01i03028arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc303.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc303.vhd
new file mode 100644
index 000000000..9aef03f02
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc303.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc303.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00303ent IS
+END c03s01b04x00p04n01i00303ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00303arch OF c03s01b04x00p04n01i00303ent IS
+ type REAL2 is range 0.0 to TRUE; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00303 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00303arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3030.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3030.vhd
new file mode 100644
index 000000000..797f57da2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3030.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3030.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package body c11s04b00x00p02n01i03030pkg is
+end c11s04b00x00p02n01i03030pkg;
+
+ENTITY c11s04b00x00p02n01i03030ent IS
+END c11s04b00x00p02n01i03030ent;
+
+ARCHITECTURE c11s04b00x00p02n01i03030arch OF c11s04b00x00p02n01i03030ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p02n01i03030 - A primary unit must be analyzed prior to the analysis of any corresponding secondary unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p02n01i03030arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3031.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3031.vhd
new file mode 100644
index 000000000..70d369ad2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3031.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3031.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ARCHITECTURE c11s04b00x00p02n01i03031arch OF c11s04b00x00p02n01i03031ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c11s04b00x00p02n01i03031 - A primary unit must be analyzed prior to the analysis of any corresponding secondary unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c11s04b00x00p02n01i03031arch;
+
+ENTITY c11s04b00x00p02n01i03031ent IS
+END c11s04b00x00p02n01i03031ent;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc304.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc304.vhd
new file mode 100644
index 000000000..b9bfb3d08
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc304.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc304.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00304ent IS
+END c03s01b04x00p04n01i00304ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00304arch OF c03s01b04x00p04n01i00304ent IS
+ type REAL3 is range "0" to 9.0 ; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00304 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00304arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc305.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc305.vhd
new file mode 100644
index 000000000..1d9f03188
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc305.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc305.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00305ent IS
+END c03s01b04x00p04n01i00305ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00305arch OF c03s01b04x00p04n01i00305ent IS
+ type REAL4 is range 0.00 to "999"; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00305 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00305arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3058.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3058.vhd
new file mode 100644
index 000000000..655f09ff5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3058.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3058.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c12s03b01x05p01n02i03058ent IS
+END c12s03b01x05p01n02i03058ent;
+
+ARCHITECTURE c12s03b01x05p01n02i03058arch OF c12s03b01x05p01n02i03058ent IS
+ signal R_NUM : BIT_VECTOR(0 to 31);
+ alias NUMB : BIT_VECTOR(21 downto 0) is R_NUM(8 to 31);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c12s03b01x05p01n02i03058 - Alias for an array object does not have a matching element for each element of the named object."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c12s03b01x05p01n02i03058arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc306.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc306.vhd
new file mode 100644
index 000000000..821fce347
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc306.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc306.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00306ent IS
+END c03s01b04x00p04n01i00306ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00306arch OF c03s01b04x00p04n01i00306ent IS
+ type REAL5 is range B"000" to B"111"; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00306 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00306arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3064.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3064.vhd
new file mode 100644
index 000000000..0aee67789
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3064.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3064.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c12s03b02x02p05n01i03064ent IS
+ port(con : in BIT := '1'; clk : out BIT);
+END c12s03b02x02p05n01i03064ent;
+
+ARCHITECTURE c12s03b02x02p05n01i03064arch OF c12s03b02x02p05n01i03064ent IS
+
+BEGIN
+ TESTING: PROCESS
+ begin
+ clk <= con;
+ wait;
+ END PROCESS TESTING;
+
+END c12s03b02x02p05n01i03064arch_a;
+
+
+ENTITY c12s03b02x02p05n01i03064ent IS
+ port (C : out bit);
+END c12s03b02x02p05n01i03064ent;
+
+ARCHITECTURE c12s03b02x02p05n01i03064arch OF c12s03b02x02p05n01i03064ent IS
+ component c12s03b02x02p05n01i03064ent_aa
+ port(con : in bit:='1'; clk : out bit);
+ end component;
+ for all: c12s03b02x02p05n01i03064ent_aa use entity work.fail(c12s03b02x02p05n01i03064arch_a); -- Failure_here
+BEGIN
+ T1: test port map(open,C);
+ TESTING: PROCESS
+ BEGIN
+ assert FAILED
+ report "***FAILED TEST: c12s03b02x02p05n01i03064 - Entity declaration and the corresponding body implied by the binding indication do not exist within the specified library."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c12s03b02x02p05n01i03064arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc307.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc307.vhd
new file mode 100644
index 000000000..c79ac0c45
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc307.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc307.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p04n01i00307ent IS
+END c03s01b04x00p04n01i00307ent;
+
+ARCHITECTURE c03s01b04x00p04n01i00307arch OF c03s01b04x00p04n01i00307ent IS
+ type ENUM1 is (ONE, TWO, THREE);
+ type REAL6 is range TWO to 3.0; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE
+ -- DEFINITION MUST BE OF FLOATING POINT TYPE
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s01b04x00p04n01i00307 - Range constraint must be floating point."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p04n01i00307arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3087.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3087.vhd
new file mode 100644
index 000000000..52fdd01ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3087.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3087.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p01n01i03087ent IS
+END c05s01b00x00p01n01i03087ent;
+
+ARCHITECTURE c05s01b00x00p01n01i03087arch OF c05s01b00x00p01n01i03087ent IS
+ -- architecture declaration section
+BEGIN
+ -- architecture statement part
+ TESTING: PROCESS
+ BEGIN
+ -- testcase code
+ Assert FALSE
+ Report "***PASSED TEST: c05s01b00x00p01n01i03087"
+ Severity NOTE;
+ -- testcase code
+ Assert FALSE
+ Report "***FAILED TEST: c05s01b00x00p01n01i03087"
+ Severity ERROR;
+ wait; -- forever
+ END PROCESS TESTING;
+END c05s01b00x00p01n01i03087arch;
+
+-- CONFIGURATION c05s01b00x00p01n01i03087cfg OF c05s01b00x00p01n01i03087ent IS
+-- FOR c05s01b00x00p01n01i03087arch
+-- END FOR;
+-- END c05s01b00x00p01n01i03087cfg;
+
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3088.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3088.vhd
new file mode 100644
index 000000000..126410a18
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3088.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3088.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p01n01i03088ent IS
+ attribute ill1 : real;
+ signal s1, s2 : integer;
+ attribute ill1 of s1 : signal is 10.0;
+ attribute LAST_EVENT of s2 : signal is 20; -- Failure_here
+END c05s01b00x00p01n01i03088ent;
+
+ARCHITECTURE c05s01b00x00p01n01i03088arch OF c05s01b00x00p01n01i03088ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p01n01i03088 - The attribute must be declared before."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p01n01i03088arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3089.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3089.vhd
new file mode 100644
index 000000000..551ab4f37
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3089.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3089.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p02n01i03089ent IS
+END c05s01b00x00p02n01i03089ent;
+
+ARCHITECTURE c05s01b00x00p02n01i03089arch OF c05s01b00x00p02n01i03089ent IS
+ type a is range 1 to 10;
+ attribute left : integer;
+ attribute of a : type is 5; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p02n01i03089 - Missing attribute designator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p02n01i03089arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3091.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3091.vhd
new file mode 100644
index 000000000..1e2aaba36
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3091.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3091.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p02n01i03091ent IS
+END c05s01b00x00p02n01i03091ent;
+
+ARCHITECTURE c05s01b00x00p02n01i03091arch OF c05s01b00x00p02n01i03091ent IS
+ type a is range 1 to 10;
+ attribute left : integer;
+ attribute left of : type is 5; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p02n01i03091 - Missing entity specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p02n01i03091arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3092.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3092.vhd
new file mode 100644
index 000000000..b5dacfeb1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3092.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3092.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p02n01i03092ent IS
+END c05s01b00x00p02n01i03092ent;
+
+ARCHITECTURE c05s01b00x00p02n01i03092arch OF c05s01b00x00p02n01i03092ent IS
+ type a is range 1 to 10;
+ attribute left : integer;
+ attribute left of a : type is 5 --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p02n01i03092 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p02n01i03092arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3093.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3093.vhd
new file mode 100644
index 000000000..006442321
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3093.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3093.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p02n01i03093ent IS
+END c05s01b00x00p02n01i03093ent;
+
+ARCHITECTURE c05s01b00x00p02n01i03093arch OF c05s01b00x00p02n01i03093ent IS
+ type a is range 1 to 10;
+ attribute left : integer;
+ attribute left of a : is 5; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p02n01i03093 - Missing entity class."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p02n01i03093arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3094.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3094.vhd
new file mode 100644
index 000000000..341218f95
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3094.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3094.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c05s01b00x00p02n01i03094pkg is
+ type a1 is range 1 to 20;
+end c05s01b00x00p02n01i03094pkg;
+
+
+ENTITY c05s01b00x00p02n01i03094ent IS
+END c05s01b00x00p02n01i03094ent;
+
+ARCHITECTURE c05s01b00x00p02n01i03094arch OF c05s01b00x00p02n01i03094ent IS
+ type a is range 1 to 10;
+ attribute left : integer;
+ attribute left of work.c05s01b00x00p02n01i03094pkg.a1 : type is 5; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p02n01i03094 - Expanded name can not be used as an entity designator."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p02n01i03094arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3095.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3095.vhd
new file mode 100644
index 000000000..9070f161b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3095.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3095.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p08n01i03095ent IS
+END c05s01b00x00p08n01i03095ent;
+
+ARCHITECTURE c05s01b00x00p08n01i03095arch OF c05s01b00x00p08n01i03095ent IS
+ attribute A1 : INTEGER;
+ signal S1 : BOOLEAN;
+ attribute A2 of S1 : signal is 9; -- Failure_here
+ -- ERROR : no preceding user-defined attribute declaration for A2
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p08n01i03095 - User defined attribute has to be predefined."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p08n01i03095arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3096.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3096.vhd
new file mode 100644
index 000000000..18c8f2633
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3096.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3096.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p08n01i03096ent IS
+END c05s01b00x00p08n01i03096ent;
+
+ARCHITECTURE c05s01b00x00p08n01i03096arch OF c05s01b00x00p08n01i03096ent IS
+ attribute ill1 : real;
+ signal s1, s2 : integer;
+ attribute notdesig of s1 : signal is 10.0; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p08n01i03096 - The attribute designator does not denote an attribute."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p08n01i03096arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3097.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3097.vhd
new file mode 100644
index 000000000..6abd18488
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3097.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3097.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p09n02i03097ent IS
+END c05s01b00x00p09n02i03097ent;
+
+ARCHITECTURE c05s01b00x00p09n02i03097arch OF c05s01b00x00p09n02i03097ent IS
+ attribute ill1 : real;
+ signal s1, s2 : integer;
+ attribute ill1 of s1, s2: constant is 10.0; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p09n02i03097 - The class of those names used in the entity name list in the entity specification in an attribute specification is not the same as that denoted by the entity class."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p09n02i03097arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3098.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3098.vhd
new file mode 100644
index 000000000..5b15dd612
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3098.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3098.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p09n02i03098ent IS
+END c05s01b00x00p09n02i03098ent;
+
+ARCHITECTURE c05s01b00x00p09n02i03098arch OF c05s01b00x00p09n02i03098ent IS
+
+BEGIN
+ TESTING: PROCESS
+ attribute ATT : integer;
+ type T1 is range 1 to 100000 ;
+ variable V1 : Integer := 0 ;
+ attribute Att of T1,V1 : type is 2 ; -- Failure_here
+ -- ERROR: only name which belong to the entity class are permitted in an entity name list.
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p09n02i03098 - Entity name does not belong to entity name list."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p09n02i03098arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc310.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc310.vhd
new file mode 100644
index 000000000..39ff89f55
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc310.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc310.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s01b04x00p06n01i00310ent IS
+END c03s01b04x00p06n01i00310ent;
+
+ARCHITECTURE c03s01b04x00p06n01i00310arch OF c03s01b04x00p06n01i00310ent IS
+ type R1 is range -10.0 to 10.0;
+ constant C1 : R1 := 2.0 ;
+ signal S1 : R1;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ S1 <= C1 * 6.0 after 5 ns;
+ wait for 10 ns;
+ assert NOT(S1 = 12.0)
+ report "***PASSED TEST: c03s01b04x00p06n01i00310"
+ severity NOTE;
+ assert ( S1=12.0)
+ report "***FAILED TEST: c03s01b04x00p06n01i00310 - Value not within bounds."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s01b04x00p06n01i00310arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3103.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3103.vhd
new file mode 100644
index 000000000..1c1e12c3d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3103.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3103.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p12n01i03103ent IS
+END c05s01b00x00p12n01i03103ent;
+
+ARCHITECTURE c05s01b00x00p12n01i03103arch OF c05s01b00x00p12n01i03103ent IS
+ attribute ill1 : real;
+ signal s1, s2 : integer;
+ attribute ill1 of s1 : signal is 10.0;
+ attribute ill1 of others : signal is 10.0;
+ attribute ill1 of s2 : signal is 10.0; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p12n01i03103 - The attribute specification with the entity name list others must be the last such specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p12n01i03103arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3104.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3104.vhd
new file mode 100644
index 000000000..8f57a952b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3104.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3104.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p16n02i03104ent IS
+ port (PT:BOOLEAN);
+ attribute AT1 : integer;
+ attribute AT1 of ch0501_P01602_02_ent : entity is 1.2; -- Failure_here
+ --ERROR: Specification expression is not the same type as attribute declaration
+END c05s01b00x00p16n02i03104ent;
+
+ARCHITECTURE c05s01b00x00p16n02i03104arch OF c05s01b00x00p16n02i03104ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p16n02i03104 - Specification expression is not of the same type as attribute specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p16n02i03104arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3105.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3105.vhd
new file mode 100644
index 000000000..f83b41d66
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3105.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3105.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c05s01b00x00p16n02i03105ent IS
+END c05s01b00x00p16n02i03105ent;
+
+ARCHITECTURE c05s01b00x00p16n02i03105arch OF c05s01b00x00p16n02i03105ent IS
+ attribute ill1 : real;
+ signal s1, s2 : integer;
+ attribute ill1 of s1 : signal is 10.0;
+ attribute ill1 of others : signal is 10; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p16n02i03105 - The type of the expression in the attribute specification is not the same as (or implicitly convertible to) the type mark in the corresponding attribute declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p16n02i03105arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3106.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3106.vhd
new file mode 100644
index 000000000..baddad525
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3106.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3106.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c05s01b00x00p17n01i03106pkg is
+ attribute p: POSITIVE;
+ attribute p of c05s01b00x00p17n01i03106pkg : package is 10;
+end c05s01b00x00p17n01i03106pkg;
+
+
+use work.c05s01b00x00p17n01i03106pkg.all;
+ENTITY c05s01b00x00p17n01i03106ent IS
+END c05s01b00x00p17n01i03106ent;
+
+ARCHITECTURE c05s01b00x00p17n01i03106arch OF c05s01b00x00p17n01i03106ent IS
+
+BEGIN
+ blk : block
+ attribute p of c05s01b00x00p17n01i03106arch : architecture is 10; -- Failure_here
+ begin
+ end block blk;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p17n01i03106 - The attribute specification for an attribute of a design unit does not appear immediately within the declarative part of that design unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p17n01i03106arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3107.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3107.vhd
new file mode 100644
index 000000000..6078c1c6b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3107.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3107.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c05s01b00x00p17n01i03107pkg is
+ attribute p: POSITIVE;
+ attribute p of c05s01b00x00p17n01i03107pkg : package is 10;
+end c05s01b00x00p17n01i03107pkg;
+
+
+use work.c05s01b00x00p17n01i03107pkg.all;
+ENTITY c05s01b00x00p17n01i03107ent IS
+END c05s01b00x00p17n01i03107ent;
+
+ARCHITECTURE c05s01b00x00p17n01i03107arch OF c05s01b00x00p17n01i03107ent IS
+ attribute p of c05s01b00x00p17n01i03107ent : entity is 10; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p17n01i03107 - The attribute specification for an attribute of a design unit does not appear immediately within the declarative part of that design unit."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p17n01i03107arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3108.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3108.vhd
new file mode 100644
index 000000000..e8b9282e0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3108.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3108.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c05s01b00x00p17n01i03108pkg is
+ attribute A1 : INTEGER;
+end c05s01b00x00p17n01i03108pkg;
+
+
+use work.c05s01b00x00p17n01i03108pkg.all;
+ENTITY c05s01b00x00p17n01i03108ent IS
+END c05s01b00x00p17n01i03108ent;
+
+ARCHITECTURE c05s01b00x00p17n01i03108arch OF c05s01b00x00p17n01i03108ent IS
+ attribute A1 of c05s01b00x00p17n01i03108pkg : package is 9 ; -- Failure_here
+ -- ERROR: package attribute specification can appear only immediatly
+ -- within the declarative region of a package
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c05s01b00x00p17n01i03108 - Package attribute specification can appear only immediately in package declarative part."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c05s01b00x00p17n01i03108arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc315.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc315.vhd
new file mode 100644
index 000000000..1e2c96f4e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc315.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc315.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b00x00p03n02i00315ent IS
+END c03s02b00x00p03n02i00315ent;
+
+ARCHITECTURE c03s02b00x00p03n02i00315arch OF c03s02b00x00p03n02i00315ent IS
+ type FT is file of integer;
+ type a12 is array (1 to 10) of FT; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b00x00p03n02i00315 - Elements of file types are not allowed in a composite type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b00x00p03n02i00315arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc316.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc316.vhd
new file mode 100644
index 000000000..297b38880
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc316.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc316.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b00x00p03n02i00316ent IS
+END c03s02b00x00p03n02i00316ent;
+
+ARCHITECTURE c03s02b00x00p03n02i00316arch OF c03s02b00x00p03n02i00316ent IS
+ type FT is file of integer;
+ type rec_type is
+ record
+ x : bit;
+ y : integer;
+ z : FT; -- Failure_here
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b00x00p03n02i00316 - Elements of file types are not allowed in a composite type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b00x00p03n02i00316arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3161.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3161.vhd
new file mode 100644
index 000000000..4034e91f1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3161.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3161.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c14s01b00x00p07n01i03161ent IS
+END c14s01b00x00p07n01i03161ent;
+
+ARCHITECTURE c14s01b00x00p07n01i03161arch OF c14s01b00x00p07n01i03161ent IS
+ type T1 is (A,B,C,D,E);
+ type T2 is (A,B,C,D,E);
+BEGIN
+ TESTING: PROCESS
+ variable V1 : T1;
+ variable V2 : T2;
+ BEGIN
+ if (T2'BASE'LEFT = T1'BASE'LEFT) then --- Failure_here
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c14s01b00x00p07n01i03161 - Type mismatch."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c14s01b00x00p07n01i03161arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3207.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3207.vhd
new file mode 100644
index 000000000..e670d9ed4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3207.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc3207.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x01p04n04i03207ent IS
+END c01s01b01x01p04n04i03207ent;
+
+ARCHITECTURE c01s01b01x01p04n04i03207arch OF c01s01b01x01p04n04i03207ent IS
+ -- architecture declaration section
+BEGIN
+ -- architecture statement part
+ TESTING: PROCESS
+ BEGIN
+ -- testcase code
+ Assert FALSE
+ Report "***PASSED TEST: c01s01b01x01p04n04i03207"
+ Severity NOTE;
+ -- testcase code
+ Assert FALSE
+ Report "***FAILED TEST: c01s01b01x01p04n04i03207"
+ Severity ERROR;
+ wait; -- forever
+ END PROCESS TESTING;
+END c01s01b01x01p04n04i03207arch;
+
+-- CONFIGURATION c01s01b01x01p04n04i03207cfg OF c01s01b01x01p04n04i03207ent IS
+-- FOR c01s01b01x01p04n04i03207arch
+-- END FOR;
+-- END c01s01b01x01p04n04i03207cfg;
+
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc321.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc321.vhd
new file mode 100644
index 000000000..c5239cace
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc321.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc321.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p03n01i00321ent IS
+END c03s02b01x00p03n01i00321ent;
+
+ARCHITECTURE c03s02b01x00p03n01i00321arch OF c03s02b01x00p03n01i00321ent IS
+-- Failure_here : missing type_mark
+ type er1 is array(range <>) of integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p03n01i00321 - The type mark in the index subtype definition is absent."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p03n01i00321arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc324.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc324.vhd
new file mode 100644
index 000000000..931df2ad6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc324.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc324.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p04n01i00324ent IS
+END c03s02b01x00p04n01i00324ent;
+
+ARCHITECTURE c03s02b01x00p04n01i00324arch OF c03s02b01x00p04n01i00324ent IS
+ type bit_vctor is array (integer => 1 to 8) of integer; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p04n01i00324 - The index constraint is not valid."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p04n01i00324arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc325.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc325.vhd
new file mode 100644
index 000000000..43d27a8d4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc325.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc325.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p04n01i00325ent IS
+END c03s02b01x00p04n01i00325ent;
+
+ARCHITECTURE c03s02b01x00p04n01i00325arch OF c03s02b01x00p04n01i00325ent IS
+ type it is array (character, positive range <>) of bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p04n01i00325 - The index constraint is not valid."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p04n01i00325arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc327.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc327.vhd
new file mode 100644
index 000000000..2d01ce2f5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc327.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc327.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p04n01i00327ent IS
+END c03s02b01x00p04n01i00327ent;
+
+ARCHITECTURE c03s02b01x00p04n01i00327arch OF c03s02b01x00p04n01i00327ent IS
+-- Failure_here: bad index format; need ranges, not constants.
+ type er1 is array(5,2) of integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p04n01i00327 - The index constraint in the constrained array definition is invalid."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p04n01i00327arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc328.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc328.vhd
new file mode 100644
index 000000000..7b8bd423b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc328.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc328.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p04n01i00328ent IS
+END c03s02b01x00p04n01i00328ent;
+
+ARCHITECTURE c03s02b01x00p04n01i00328arch OF c03s02b01x00p04n01i00328ent IS
+ constant pi:real:=3.1415;
+-- -- Failure_here: index constraint cannot be a real
+ type test is array(0 to pi) of bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p04n01i00328 - The index constraint in the constrained array definition is invalid."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p04n01i00328arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc329.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc329.vhd
new file mode 100644
index 000000000..2e4137c19
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc329.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc329.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p05n01i00329ent IS
+END c03s02b01x00p05n01i00329ent;
+
+ARCHITECTURE c03s02b01x00p05n01i00329arch OF c03s02b01x00p05n01i00329ent IS
+ type bit_vctor is array ( range <>) of bit; -- Failure_here
+ type str_vctor is array (natural range <>) of character;
+ type matrix is array (integer range <>) of real;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p05n01i00329 - The type mark in the unconstrained array definition is missing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p05n01i00329arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc330.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc330.vhd
new file mode 100644
index 000000000..f3de1dbb1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc330.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc330.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p05n01i00330ent IS
+END c03s02b01x00p05n01i00330ent;
+
+ARCHITECTURE c03s02b01x00p05n01i00330arch OF c03s02b01x00p05n01i00330ent IS
+ type bit_vctor is array (natural range <>) of bit;
+ type str_vctor is array (natural <>) of character; -- Failure_here
+ type matrix is array (integer range <>) of real;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p05n01i00330 - The reserved word range in the unconstrained array definition is missing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p05n01i00330arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc331.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc331.vhd
new file mode 100644
index 000000000..e67d8fa07
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc331.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc331.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p05n01i00331ent IS
+END c03s02b01x00p05n01i00331ent;
+
+ARCHITECTURE c03s02b01x00p05n01i00331arch OF c03s02b01x00p05n01i00331ent IS
+ type bit_vctor is array (natural range <>) of bit;
+ type str_vctor is array (natural range <>) of character;
+ type matrix is array (integer range ) of real; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p05n01i00331 - The box (<>) in the unconstrained array definition is missing."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p05n01i00331arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc332.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc332.vhd
new file mode 100644
index 000000000..bc644ff80
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc332.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc332.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p06n01i00332ent IS
+END c03s02b01x00p06n01i00332ent;
+
+ARCHITECTURE c03s02b01x00p06n01i00332arch OF c03s02b01x00p06n01i00332ent IS
+ -- a constrained array declaration
+ type my_word is array (one => 0 to 31) of bit; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p06n01i00332 - Syntax error in discrete range definition for the type declaration of 'my_word'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p06n01i00332arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc336.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc336.vhd
new file mode 100644
index 000000000..5919db5e3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc336.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc336.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p06n01i00336ent IS
+END c03s02b01x00p06n01i00336ent;
+
+ARCHITECTURE c03s02b01x00p06n01i00336arch OF c03s02b01x00p06n01i00336ent IS
+ type bit_vctor is array 1 to 8 of integer; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p06n01i00336 - The index constraint is a list of discrete ranges enclosed within parentheses."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p06n01i00336arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc338.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc338.vhd
new file mode 100644
index 000000000..fe45b94f6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc338.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc338.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p07n01i00338ent IS
+END c03s02b01x00p07n01i00338ent;
+
+ARCHITECTURE c03s02b01x00p07n01i00338arch OF c03s02b01x00p07n01i00338ent IS
+ type bit_vctor is array (1 to 8, positive range <>) of integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p07n01i00338 - The discrete range is neither a valid discrete subtype indication nor a valid range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p07n01i00338arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc34.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc34.vhd
new file mode 100644
index 000000000..ffbe544a7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc34.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc34.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p01n01i00034ent IS
+END c04s03b01x01p01n01i00034ent;
+
+ARCHITECTURE c04s03b01x01p01n01i00034arch OF c04s03b01x01p01n01i00034ent IS
+ constant INDEX : integer range 0 to 99 := 1000; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p01n01i00034- Constant declaration sets value of constant outside subtype indication range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p01n01i00034arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc340.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc340.vhd
new file mode 100644
index 000000000..52b2b70f3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc340.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc340.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p08n01i00340ent IS
+ PORT ( ii: INOUT integer);
+ TYPE A IS ARRAY (NATURAL RANGE <>) OF INTEGER;
+ TYPE Z IS ARRAY (NATURAL RANGE <>,NATURAL RANGE <>,NATURAL RANGE <>) OF INTEGER;
+ SUBTYPE A8 IS A (1 TO 8,1 TO 8,1 TO 8);
+ SUBTYPE Z3 IS Z (1 TO 3,1 TO 3);
+ SUBTYPE Z6 IS Z (1 TO 6,1 TO 6,1 TO 6);
+ FUNCTION func1 (a,b : INTEGER := 3) RETURN Z6 IS
+ BEGIN
+ RETURN (OTHERS=>(OTHERS=>(1,2,3,4,5,6)));
+ END;
+END c03s02b01x00p08n01i00340ent;
+
+ARCHITECTURE c03s02b01x00p08n01i00340arch OF c03s02b01x00p08n01i00340ent IS
+
+BEGIN
+ TESTING: PROCESS
+ VARIABLE q : A8;
+ VARIABLE r : Z3;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p08n01i00340 - Array subtype has fewer dimensions than base type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p08n01i00340arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc342.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc342.vhd
new file mode 100644
index 000000000..604674db6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc342.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc342.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p09n03i00342ent IS
+END c03s02b01x00p09n03i00342ent;
+
+ARCHITECTURE c03s02b01x00p09n03i00342arch OF c03s02b01x00p09n03i00342ent IS
+ type array_type is array (1 to 10) of boolean;
+BEGIN
+ TESTING: PROCESS
+ variable k : array_type;
+ BEGIN
+ k(12) := true;
+ assert NOT(k(12)=true)
+ report "***PASSED TEST: c03s02b01x00p09n03i00342"
+ severity NOTE;
+ assert ( k(12)=true )
+ report "***FAILED TEST: c03s02b01x00p09n03i00342 - The values in the given index range are not the values that belong to the corresponding range."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p09n03i00342arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc345.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc345.vhd
new file mode 100644
index 000000000..fed2fd15a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc345.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc345.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p10n04i00345ent IS
+END c03s02b01x00p10n04i00345ent;
+
+ARCHITECTURE c03s02b01x00p10n04i00345arch OF c03s02b01x00p10n04i00345ent IS
+ constant C1 : BIT_VECTOR(-1 to 2) := "0011" ; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p10n04i00345 - Left bound doesn't belong to the corresponding index subtype"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p10n04i00345arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc348.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc348.vhd
new file mode 100644
index 000000000..7031e4223
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc348.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc348.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x00p15n01i00348ent IS
+END c03s02b01x00p15n01i00348ent;
+
+ARCHITECTURE c03s02b01x00p15n01i00348arch OF c03s02b01x00p15n01i00348ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function WIRED_OR ( Inputs: BIT_VECTOR ) return BIT is
+ constant Floatvalue : BIT := '0' ;
+ begin
+ if Inputs'Length = 0 then
+ -- this is a bus whose drivers are all off.
+ return FloatValue ;
+ else
+ for I in Inputs'Range loop
+ if Inputs(I) = '1' then
+ return '1' ;
+ end if ;
+ end loop ;
+ return '0' ;
+ end if ;
+ end;
+ type bad_array_type is array (WIRED_OR INTEGER range 12 to 22) of BIT;
+ -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x00p15n01i00348 - Resolution function cannot be present."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x00p15n01i00348arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc352.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc352.vhd
new file mode 100644
index 000000000..e7bb9cdd5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc352.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc352.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00352ent IS
+END c03s02b01x01p02n01i00352ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00352arch OF c03s02b01x01p02n01i00352ent IS
+ type bit_vctor is array (0 to 'B') of integer; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00352 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00352arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc353.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc353.vhd
new file mode 100644
index 000000000..5c9159a7d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc353.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc353.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00353ent IS
+END c03s02b01x01p02n01i00353ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00353arch OF c03s02b01x01p02n01i00353ent IS
+ type bit_vctor is array (0.0 to 7) of real; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00353 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00353arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc354.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc354.vhd
new file mode 100644
index 000000000..3de2d5d37
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc354.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc354.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00354ent IS
+END c03s02b01x01p02n01i00354ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00354arch OF c03s02b01x01p02n01i00354ent IS
+ type b1 is array (0 to 'B') of integer;
+ type b2 is array (0.0 to 7) of real;
+ type days is (mon, tue, wed, thu, fri, sat, sun);
+ type weekdays is (mon, tue, wed, thu, fri);
+ type startdays is array (mon to wed) of integer; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00354 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00354arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc356.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc356.vhd
new file mode 100644
index 000000000..64f465325
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc356.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc356.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00356ent IS
+END c03s02b01x01p02n01i00356ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00356arch OF c03s02b01x01p02n01i00356ent IS
+ type days is (mon, tue, wed, thu, fri, sat, sun);
+ type weekdays is (mon, tue, wed, thu, fri);
+ type startdays is array (mon to wed) of integer; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00356 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00356arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc357.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc357.vhd
new file mode 100644
index 000000000..9eb309dba
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc357.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc357.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00357ent IS
+END c03s02b01x01p02n01i00357ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00357arch OF c03s02b01x01p02n01i00357ent IS
+ type page is array (0 to X"FFF") of bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00357 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00357arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc358.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc358.vhd
new file mode 100644
index 000000000..1af8a9f44
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc358.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc358.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00358ent IS
+END c03s02b01x01p02n01i00358ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00358arch OF c03s02b01x01p02n01i00358ent IS
+ type MVL1 is ('0', '1');
+ type MVL2 is ('X', 'Z');
+ type MVL3 is array(MVL1'LOW to MVL2'HIGH) of Integer; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00358 - Bounds are of different discrete types."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00358arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc360.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc360.vhd
new file mode 100644
index 000000000..8ba71cbec
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc360.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc360.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00360ent IS
+END c03s02b01x01p02n01i00360ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00360arch OF c03s02b01x01p02n01i00360ent IS
+ type bit_vctor is array (positive to 7) of integer; --Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00360 - Both bounds in the constrained array definition must have the same discrete type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00360arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc362.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc362.vhd
new file mode 100644
index 000000000..a83b3327b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc362.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc362.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p02n01i00362ent IS
+END c03s02b01x01p02n01i00362ent;
+
+ARCHITECTURE c03s02b01x01p02n01i00362arch OF c03s02b01x01p02n01i00362ent IS
+ type MVL1 is ('0', '1');
+ type MVL2 is ('X', 'Z');
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := 0;
+ BEGIN
+ for I in MVL1'LOW to MVL2'HIGH loop -- failure_here
+ end loop;
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p02n01i00362 - Bounds are of different discrete types"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p02n01i00362arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc363.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc363.vhd
new file mode 100644
index 000000000..4eba81427
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc363.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc363.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n01i00363ent IS
+END c03s02b01x01p03n01i00363ent;
+
+ARCHITECTURE c03s02b01x01p03n01i00363arch OF c03s02b01x01p03n01i00363ent IS
+ type week is array (positive range <>) of integer;
+ type a is access week;
+ subtype weekend1 is week (10 to 20);
+ subtype weekend2 is a (10 to 20);
+ type week2 is array (1 to 10) of integer;
+ type b is access week2;
+ subtype weekend3 is week2 (1 to 2); -- Failure_here
+ subtype weekend4 is b (1 to 2); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n01i00363 - Index constraint not allowed in the subtype declaration of weekend3."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n01i00363arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc367.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc367.vhd
new file mode 100644
index 000000000..fc67a3af9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc367.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc367.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n01i00367ent IS
+END c03s02b01x01p03n01i00367ent;
+
+ARCHITECTURE c03s02b01x01p03n01i00367arch OF c03s02b01x01p03n01i00367ent IS
+ type MVL is ('0', '1', 'Z') ;
+ type MVL_vector is array (positive range <>) of MVL;
+
+ function tristate (X:MVL_vector) return MVL;
+ subtype tribit is tristate MVL;
+ type tribit_vector is array (positive range <>) of tribit;
+ subtype byte is tribit_vector (0 to 7);
+ subtype half_byte is byte (0 to 3); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n01i00367 - If an index constraint appears after a type mark in a subtype indication, then the type or subtype denoted by the type mark must not already impose an index constraint."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n01i00367arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc368.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc368.vhd
new file mode 100644
index 000000000..8fab61c82
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc368.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc368.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00368ent IS
+END c03s02b01x01p03n02i00368ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00368arch OF c03s02b01x01p03n02i00368ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A1 is array (BFALSE range <>,FALSE to FALSE)
+ of INTEGER range 0 to 0; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00368 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00368arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc369.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc369.vhd
new file mode 100644
index 000000000..ed285ee9d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc369.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc369.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00369ent IS
+END c03s02b01x01p03n02i00369ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00369arch OF c03s02b01x01p03n02i00369ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A2 is array (FALSE to FALSE,
+ BFALSE range <>) of ONETWO; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00369 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00369arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc370.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc370.vhd
new file mode 100644
index 000000000..03f59566b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc370.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc370.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00370ent IS
+END c03s02b01x01p03n02i00370ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00370arch OF c03s02b01x01p03n02i00370ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A3 is array (1 to 2,
+ ONETWO range <>) of BFALSE; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00370 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00370arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc371.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc371.vhd
new file mode 100644
index 000000000..5b0bc143c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc371.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc371.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00371ent IS
+END c03s02b01x01p03n02i00371ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00371arch OF c03s02b01x01p03n02i00371ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A4 is array (ONETWO range <>,
+ 1 to 2) of REAL range 0.0 downto -5.5; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00371 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00371arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc372.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc372.vhd
new file mode 100644
index 000000000..0852bf09e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc372.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc372.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00372ent IS
+END c03s02b01x01p03n02i00372ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00372arch OF c03s02b01x01p03n02i00372ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A5 is array (FALSE to FALSE,
+ BFALSE range <>,
+ 1 to 2) of BIT; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00372 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00372arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc373.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc373.vhd
new file mode 100644
index 000000000..031fa78cf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc373.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc373.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n02i00373ent IS
+END c03s02b01x01p03n02i00373ent;
+
+ARCHITECTURE c03s02b01x01p03n02i00373arch OF c03s02b01x01p03n02i00373ent IS
+ subtype BFALSE is BOOLEAN range FALSE to FALSE;
+ type ONETWO is range 1 to 2;
+
+ type A6 is array (ONETWO range <>,
+ FALSE to FALSE,
+ BFALSE range <>) of REAL; -- Failure_here
+ -- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
+ -- CANNOT BE MIXED
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n02i00373 - Unconstrained and constrained index ranges cannot be mixed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n02i00373arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc374.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc374.vhd
new file mode 100644
index 000000000..660e4e7fe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc374.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc374.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n03i00374ent IS
+END c03s02b01x01p03n03i00374ent;
+
+ARCHITECTURE c03s02b01x01p03n03i00374arch OF c03s02b01x01p03n03i00374ent IS
+ type bit_vctor is array (character range 1 to 8) of integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n03i00374 - The index constraint must provide a discrete range for each index of the array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n03i00374arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc375.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc375.vhd
new file mode 100644
index 000000000..cbe947b95
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc375.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc375.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n03i00375ent IS
+END c03s02b01x01p03n03i00375ent;
+
+ARCHITECTURE c03s02b01x01p03n03i00375arch OF c03s02b01x01p03n03i00375ent IS
+ type it is array (bit_vector range bit_vector'range) of bit;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n03i00375 - The index constraint must provide a discrete range for each index of the array type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n03i00375arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc379.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc379.vhd
new file mode 100644
index 000000000..9e9b36fb9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc379.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc379.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p03n03i00379ent IS
+END c03s02b01x01p03n03i00379ent;
+
+ARCHITECTURE c03s02b01x01p03n03i00379arch OF c03s02b01x01p03n03i00379ent IS
+ type M1 is array (positive range <>) of real;
+ subtype M2 is natural range 0 to 5;
+ subtype M3 is M1(M2); -- failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p03n03i00379 - Type of discrete range different from the corresponding index."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p03n03i00379arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc380.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc380.vhd
new file mode 100644
index 000000000..8091d534b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc380.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc380.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p04n01i00380ent IS
+END c03s02b01x01p04n01i00380ent;
+
+ARCHITECTURE c03s02b01x01p04n01i00380arch OF c03s02b01x01p04n01i00380ent IS
+ type bit_vctor is array (positive range -1 to 8) of integer;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p04n01i00380 - The index constraint values are not compatible with the corresponding subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p04n01i00380arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc383.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc383.vhd
new file mode 100644
index 000000000..fb0df1d90
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc383.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc383.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p04n01i00383ent IS
+END c03s02b01x01p04n01i00383ent;
+
+ARCHITECTURE c03s02b01x01p04n01i00383arch OF c03s02b01x01p04n01i00383ent IS
+ type MVL is ('0', '1', 'Z') ;
+ type MVL_vector is array (positive range <>) of MVL;
+
+ function tristate (X:MVL_vector) return MVL;
+ subtype tribit is tristate MVL;
+ type tribit_vector is array (positive range <>) of tribit;
+ subtype byte is tribit_vector (7 downto 0); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p04n01i00383 - The index constraint values are not compatible with the corresponding subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p04n01i00383arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc384.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc384.vhd
new file mode 100644
index 000000000..f7ba43293
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc384.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc384.vhd,v 1.1.1.1 2001-08-22 18:20:50 paw Exp $
+-- $Revision: 1.1.1.1 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p04n01i00384ent IS
+END c03s02b01x01p04n01i00384ent;
+
+ARCHITECTURE c03s02b01x01p04n01i00384arch OF c03s02b01x01p04n01i00384ent IS
+ type A1 is array (positive range <>, positive range <>) of bit;
+ subtype byte is A1 (0 to 7, -10 to 7); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: ENTITY c03s02b01x01p04n01i00384ent IS
+END c03s02b01x01p04n01i00384ent;
+
+ARCHITECTURE c03s02b01x01p04n01i00384arch OF c03s02b01x01p04n01i00384ent IS - The index constraint values are not compatible with the corresponding subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END ENTITY c03s02b01x01p04n01i00384ent IS
+END c03s02b01x01p04n01i00384ent;
+
+ARCHITECTURE c03s02b01x01p04n01i00384arch OF c03s02b01x01p04n01i00384ent ISarch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc389.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc389.vhd
new file mode 100644
index 000000000..77a1978a5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc389.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc389.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p04n03i00389ent IS
+END c03s02b01x01p04n03i00389ent;
+
+ARCHITECTURE c03s02b01x01p04n03i00389arch OF c03s02b01x01p04n03i00389ent IS
+ type M1 is array (0 to 1, 0 to 2) of bit;
+BEGIN
+ TESTING: PROCESS
+ variable M2 : M1 := (('1','0'),('1','0','1'));
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p04n03i00389 - Different index ranges"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p04n03i00389arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc390.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc390.vhd
new file mode 100644
index 000000000..5ea5d06fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc390.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc390.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p06n01i00390ent IS
+END c03s02b01x01p06n01i00390ent;
+
+ARCHITECTURE c03s02b01x01p06n01i00390arch OF c03s02b01x01p06n01i00390ent IS
+ type I1 is range 1 to 1;
+ type A1 is array (integer range <>) of bit;
+ signal V3: A1; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: SUBTYPE INDICATION OF ARRAY OBJECT DECLARATION
+ -- MUST DENOTE A CONSTRAINED ARRAY
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p06n01i00390 - Subtype indication of array object declaration must denote a constrained array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p06n01i00390arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc391.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc391.vhd
new file mode 100644
index 000000000..02f950f17
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc391.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc391.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p06n01i00391ent IS
+END c03s02b01x01p06n01i00391ent;
+
+ARCHITECTURE c03s02b01x01p06n01i00391arch OF c03s02b01x01p06n01i00391ent IS
+ type I1 is range 1 to 1;
+ type A1 is array (integer range <>) of bit;
+BEGIN
+ TESTING: PROCESS
+ variable V2: A1 := B"00"; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: SUBTYPE INDICATION OF ARRAY OBJECT DECLARATION
+ -- MUST DENOTE A CONSTRAINED ARRAY
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p06n01i00391 - Subtype indication of array object declaration must denote a constrained array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p06n01i00391arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc394.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc394.vhd
new file mode 100644
index 000000000..d40991e78
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc394.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc394.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p06n02i00394ent IS
+END c03s02b01x01p06n02i00394ent;
+
+ARCHITECTURE c03s02b01x01p06n02i00394arch OF c03s02b01x01p06n02i00394ent IS
+ type I1 is range 1 to 1;
+ type A1 is array (I1 range <>) of BOOLEAN;
+
+ type R1 is record
+ RE1: A1; -- failure_here
+ -- ERROR - SEMANTIC ERROR: TYPE OF RECORD ELEMENT CANNOT BE AN
+ -- UNCONSTRAINED ARRAY
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p06n02i00394 - Record element cannot be an unconstrained array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p06n02i00394arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc396.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc396.vhd
new file mode 100644
index 000000000..007422264
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc396.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc396.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p06n02i00396ent IS
+END c03s02b01x01p06n02i00396ent;
+
+ARCHITECTURE c03s02b01x01p06n02i00396arch OF c03s02b01x01p06n02i00396ent IS
+ type I1 is range 1 to 1;
+ type A1 is array (I1 range <>) of BOOLEAN;
+ type A2 is array (I1'(1) to I1'(1)) of A1; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: ARRAY ELEMENT CANNOT BE AN UNCONSTRAINED ARRAY
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p06n02i00396 - Array element cannot be an unconstrained array."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p06n02i00396arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc4.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc4.vhd
new file mode 100644
index 000000000..a6c17a914
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc4.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc4.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p04n01i00004ent IS
+END c04s01b00x00p04n01i00004ent;
+
+ARCHITECTURE c04s01b00x00p04n01i00004arch OF c04s01b00x00p04n01i00004ent IS
+ -- a constrained array declaration
+ type my_word is array (one => 0 to 31) of bit; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p04n01i00004 - Syntax error in type declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p04n01i00004arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc405.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc405.vhd
new file mode 100644
index 000000000..55ce9ca5b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc405.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc405.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b01x01p19n01i00405ent IS
+END c03s02b01x01p19n01i00405ent;
+
+ARCHITECTURE c03s02b01x01p19n01i00405arch OF c03s02b01x01p19n01i00405ent IS
+ type MEM is array (positive range <>) of BIT;
+ type ME1 is array (positive range <>) of Integer;
+ subtype ME2 is ME1(1 to 3);
+ subtype M1 is MEM (1 to 5);
+ function WR_OR(Input : ME1) return M1 is
+ begin
+ for I in Input'Range loop
+ if Input(I) = 2 then
+ return "11111" ;
+ end if;
+ end loop;
+ end WR_OR;
+ procedure F2 (X1 : in MEM; WR_OR: out M1) is
+ begin
+ end F2;
+BEGIN
+ TESTING: PROCESS
+ variable V1 :ME2 := (20, 30, 40, 50);
+ BEGIN
+ F2(WR_OR(V1),WR_OR(V1)) ; -- failure_here
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c03s02b01x01p19n01i00405 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b01x01p19n01i00405arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc42.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc42.vhd
new file mode 100644
index 000000000..70ff8a39d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc42.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc42.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p02n01i00042ent IS
+END c04s03b01x01p02n01i00042ent;
+
+ARCHITECTURE c04s03b01x01p02n01i00042arch OF c04s03b01x01p02n01i00042ent IS
+ -- this is an error because "integer" and "i" should be switched.
+ constant integer: i := 7; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s03b01x01p02n01i00042- Identifier list expected in constant declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p02n01i00042arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc44.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc44.vhd
new file mode 100644
index 000000000..0a3bc8d1d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc44.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc44.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p02n01i00044ent IS
+END c04s03b01x01p02n01i00044ent;
+
+ARCHITECTURE c04s03b01x01p02n01i00044arch OF c04s03b01x01p02n01i00044ent IS
+ constant c: integer (2+3); -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p02n01i00044 - Syntactic error in constant declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p02n01i00044arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc46.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc46.vhd
new file mode 100644
index 000000000..57d425950
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc46.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc46.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p03n02i00046ent IS
+END c04s03b01x01p03n02i00046ent;
+
+ARCHITECTURE c04s03b01x01p03n02i00046arch OF c04s03b01x01p03n02i00046ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant CC1 : integer := 4;
+ variable AA1 : integer := 87;
+ variable BB1 : integer := 20 ;
+ BEGIN
+ CC1 := AA1 * BB1; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p03n02i00046- The value of a constant cannot be changed after the declartion elaboration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x01p03n02i00046arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc47.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc47.vhd
new file mode 100644
index 000000000..10b05e698
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc47.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc47.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p03n02i00047ent IS
+END c04s03b01x01p03n02i00047ent;
+
+ARCHITECTURE c04s03b01x01p03n02i00047arch OF c04s03b01x01p03n02i00047ent IS
+ function retrieve (VM:integer) return integer is
+ constant pi : real := 3.142;
+ begin
+ pi := 45.00; -- Failure_here - pi is a constant
+ return 12;
+ end retrieve;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p03n02i00047- The value of a constant cannot be changed after the declartion elaboration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x01p03n02i00047arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc48.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc48.vhd
new file mode 100644
index 000000000..3c623621a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc48.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc48.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p03n02i00048ent IS
+END c04s03b01x01p03n02i00048ent;
+
+ARCHITECTURE c04s03b01x01p03n02i00048arch OF c04s03b01x01p03n02i00048ent IS
+ constant test: integer := 10; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p03n02i00048 - The value of a constant cannot be changed after the declartion elaboration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p03n02i00048arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc49.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc49.vhd
new file mode 100644
index 000000000..7cc87f62b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc49.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc49.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p04n01i00049ent IS
+END c04s03b01x01p04n01i00049ent;
+
+ARCHITECTURE c04s03b01x01p04n01i00049arch OF c04s03b01x01p04n01i00049ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant x: bit; --Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p04n01i00049- Deferred constant declaration can not appear in a process statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p04n01i00049arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc5.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc5.vhd
new file mode 100644
index 000000000..30d7ff65a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc5.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc5.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p08n01i00005ent IS
+END c04s01b00x00p08n01i00005ent;
+
+ARCHITECTURE c04s01b00x00p08n01i00005arch OFc04s01b00x00p08n01i00005ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type I1 is range 1 to 1;
+ type I2 is range 1 to 1;
+ variable V1: I1;
+ variable V2: I2;
+ BEGIN
+
+ if V1 = V2 then -- Failure_here
+ -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE
+ null ;
+ end if;
+
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p08n01i00005 - Types are different and hence incompatible."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p08n01i00005arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc50.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc50.vhd
new file mode 100644
index 000000000..a867f123d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc50.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc50.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p04n01i00050ent IS
+END c04s03b01x01p04n01i00050ent;
+
+ARCHITECTURE c04s03b01x01p04n01i00050arch OF c04s03b01x01p04n01i00050ent IS
+ constant A1 : bit; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p04n01i00050 - Deferred constant declaration can not appear in an architecture body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x01p04n01i00050arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc502.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc502.vhd
new file mode 100644
index 000000000..0126ec589
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc502.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc502.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p02n01i00502ent IS
+END c03s02b02x00p02n01i00502ent;
+
+ARCHITECTURE c03s02b02x00p02n01i00502arch OF c03s02b02x00p02n01i00502ent IS
+ type R1 is record
+ end record; -- Failure_here
+ -- ERROR - SYNTAX ERROR: RECORD TYPE DECLARATION MUST
+ -- CONTAIN AT LEAST ONE ELEMENT
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p02n01i00502 - At least one element should be present in the record type definition."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p02n01i00502arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc504.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc504.vhd
new file mode 100644
index 000000000..19fb6ddc1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc504.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc504.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p03n01i00504ent IS
+END c03s02b02x00p03n01i00504ent;
+
+ARCHITECTURE c03s02b02x00p03n01i00504arch OF c03s02b02x00p03n01i00504ent IS
+ type DATE is
+ record
+ DAY : Integer range 1 to 31;
+ MONTH : Integer range 1 to 12;
+ YEAR : Integer range 0 to 1000;
+ end record --- Failure_here ; Missing semicolon
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p03n01i00504 -Missing semicolon"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p03n01i00504arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc506.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc506.vhd
new file mode 100644
index 000000000..c7140e886
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc506.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc506.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n02i00506ent IS
+END c03s02b02x00p06n02i00506ent;
+
+ARCHITECTURE c03s02b02x00p06n02i00506arch OF c03s02b02x00p06n02i00506ent IS
+ type rec_type is
+ record
+ x : bit;
+ y : integer;
+ x : boolean; -- Failure_here
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n02i00506 -dentifiers of all elements of a record type must be distinct. "
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n02i00506arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc507.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc507.vhd
new file mode 100644
index 000000000..5c56c92fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc507.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc507.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n02i00507ent IS
+END c03s02b02x00p06n02i00507ent;
+
+ARCHITECTURE c03s02b02x00p06n02i00507arch OF c03s02b02x00p06n02i00507ent IS
+ type R1 is record
+ RE1: INTEGER;
+ RE2: BIT;
+ RE3: BOOLEAN;
+ RE1: REAL; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: IDENTIFIERS OF ELEMENTS OF
+ -- A RECORD TYPE MUST BE DISTINCT
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n02i00507 - Identifiers of elements of record type must be distinct."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n02i00507arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc508.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc508.vhd
new file mode 100644
index 000000000..87f7bd455
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc508.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc508.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n02i00508ent IS
+END c03s02b02x00p06n02i00508ent;
+
+ARCHITECTURE c03s02b02x00p06n02i00508arch OF c03s02b02x00p06n02i00508ent IS
+ type date is
+ record
+ day : integer range 1 to 31;
+ month : integer range 1 to 12;
+ -- -- Failure_here: duplicate record field declaration
+ day : integer range -6000 to 6000;
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n02i00508 -The identifiers of all elements of a record type must be distinct."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n02i00508arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc509.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc509.vhd
new file mode 100644
index 000000000..e99a49e28
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc509.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc509.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n03i00509ent IS
+END c03s02b02x00p06n03i00509ent;
+
+ARCHITECTURE c03s02b02x00p06n03i00509arch OF c03s02b02x00p06n03i00509ent IS
+ type date is
+ record
+ day :integer range 1 to 31;
+
+-- Failure_here: cannot use element name of record as part of definition of
+-- another field of same record.
+
+ month : day range 1 to 12;
+ year : integer range -6000 to 6000;
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n03i00509 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n03i00509arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc51.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc51.vhd
new file mode 100644
index 000000000..f8c3f16c9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc51.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc51.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b01x01p04n03i00051pkg is
+ constant PI : Real;
+ constant g : real;
+end c04s03b01x01p04n03i00051pkg;
+
+package body c04s03b01x01p04n03i00051pkg is
+ constant g : Real := 9.8; -- full declaration for 'g'
+ -- The full declaration for PI is missing.
+end c04s03b01x01p04n03i00051pkg; -- Failure_here
+
+
+ENTITY c04s03b01x01p04n03i00051ent IS
+END c04s03b01x01p04n03i00051ent;
+
+ARCHITECTURE c04s03b01x01p04n03i00051arch OF c04s03b01x01p04n03i00051ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p04n03i00051 - Declaration for deferred constant is missing in the package body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x01p04n03i00051arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc510.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc510.vhd
new file mode 100644
index 000000000..830b76123
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc510.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc510.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n03i00510ent IS
+END c03s02b02x00p06n03i00510ent;
+
+ARCHITECTURE c03s02b02x00p06n03i00510arch OF c03s02b02x00p06n03i00510ent IS
+ type x is (one,two);
+
+ type rec_type is
+ record
+ x : bit;
+ y : integer;
+ z : x; -- Failure_here
+ -- ERROR: The use of a name that denotes a record element
+ -- is not allowed within the record type definition that declares the element.
+ end record;
+BEGIN
+ TESTING: PROCESS
+ variable k : rec_type;
+ BEGIN
+ k.x = '0';
+ k.y = 123;
+ k.z = one;
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n03i00510 - The use of a name that denotes a record element is not allowed within the record type definition that declares the element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n03i00510arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc511.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc511.vhd
new file mode 100644
index 000000000..637dc5c2c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc511.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc511.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s02b02x00p06n03i00511ent IS
+END c03s02b02x00p06n03i00511ent;
+
+ARCHITECTURE c03s02b02x00p06n03i00511arch OF c03s02b02x00p06n03i00511ent IS
+ type R1 is record
+ RE1: I1;
+ RE2: RE1; -- Failure_here
+ -- ERROR - SEMANTIC ERROR: NAME OF RECORD ELEMENT CANNOT BE USED
+ -- WITHIN THE RECORD TYPE DEFINITION
+ end record;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s02b02x00p06n03i00511 - Name of record element cannot be used in the record type definition."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s02b02x00p06n03i00511arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc514.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc514.vhd
new file mode 100644
index 000000000..8ee2f9bb9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc514.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc514.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s03b00x00p02n01i00514ent IS
+END c03s03b00x00p02n01i00514ent;
+
+ARCHITECTURE c03s03b00x00p02n01i00514arch OF c03s03b00x00p02n01i00514ent IS
+ type MY_WORD is array (0 to 31) of BIT;
+ type MEMORY is array (Integer range <>) of MY_WORD;
+ type ADDRESS is access ; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s03b00x00p02n01i00514 - Missing subtype indication"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s03b00x00p02n01i00514arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc518.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc518.vhd
new file mode 100644
index 000000000..a29520f59
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc518.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc518.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s03b00x00p03n06i00518ent IS
+END c03s03b00x00p03n06i00518ent;
+
+ARCHITECTURE c03s03b00x00p03n06i00518arch OF c03s03b00x00p03n06i00518ent IS
+ type FT is file of integer;
+ type b is access FT; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s03b00x00p03n06i00518 - The designated type must not be a file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s03b00x00p03n06i00518arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc532.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc532.vhd
new file mode 100644
index 000000000..f39ef6131
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc532.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc532.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s03b00x00p04n01i00532ent IS
+END c03s03b00x00p04n01i00532ent;
+
+ARCHITECTURE c03s03b00x00p04n01i00532arch OF c03s03b00x00p04n01i00532ent IS
+ type T is
+ record
+ a:integer;
+ b:integer;
+ end record;
+ type A is access T;
+ signal B1, B2: A := new T'(0, 0); -- Failure_here
+ signal C : T;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ C <= B1.all;
+ assert NOT(C.a=0 and C.b=0)
+ report "***PASSED TEST: c03s03b00x00p04n01i00532"
+ severity NOTE;
+ assert (C.a=0 and C.b=0)
+ report "***FAILED TEST: c03s03b00x00p04n01i00532 - The object declared to be of an access type must be an object of class variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s03b00x00p04n01i00532arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc533.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc533.vhd
new file mode 100644
index 000000000..cdbd6e644
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc533.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc533.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s03b00x00p04n01i00533ent IS
+END c03s03b00x00p04n01i00533ent;
+
+ARCHITECTURE c03s03b00x00p04n01i00533arch OF c03s03b00x00p04n01i00533ent IS
+ type T is
+ record
+ a:integer;
+ b:integer;
+ end record;
+ type A is access T;
+ constant B1, B2: A := new T'(0, 0);
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s03b00x00p04n01i00533 - The object declared to be of an access type must be an object of class variable."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s03b00x00p04n01i00533arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc540.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc540.vhd
new file mode 100644
index 000000000..96f19efb8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc540.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc540.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s03b01x00p02n01i00540ent IS
+END c03s03b01x00p02n01i00540ent;
+
+ARCHITECTURE c03s03b01x00p02n01i00540arch OF c03s03b01x00p02n01i00540ent IS
+ type ARR -- Failure_here
+ type L1 is access ARR;
+ type ARR is array (positive range <>) of BIT;
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c03s03b01x00p02n01i00540 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s03b01x00p02n01i00540arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc543.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc543.vhd
new file mode 100644
index 000000000..81cb995b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc543.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc543.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p02n01i00543ent IS
+END c03s04b00x00p02n01i00543ent;
+
+ARCHITECTURE c03s04b00x00p02n01i00543arch OF c03s04b00x00p02n01i00543ent IS
+ type ARR is
+ record
+ V1 : Integer;
+ V2 : Integer;
+ end record;
+
+ type A1 is file ARR; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p02n01i00543 - Missing reserved word 'OF'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p02n01i00543arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc547.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc547.vhd
new file mode 100644
index 000000000..f24b9801d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc547.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc547.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n03i00547ent IS
+END c03s04b00x00p03n03i00547ent;
+
+ARCHITECTURE c03s04b00x00p03n03i00547arch OF c03s04b00x00p03n03i00547ent IS
+ type TM is
+ file of integer;
+
+ type FT is -- file decl
+ file of TM; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n03i00547 - Subtype denoted by a filetype cannot have a base type of a file or access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n03i00547arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc548.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc548.vhd
new file mode 100644
index 000000000..6fd93932d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc548.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc548.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n03i00548ent IS
+END c03s04b00x00p03n03i00548ent;
+
+ARCHITECTURE c03s04b00x00p03n03i00548arch OF c03s04b00x00p03n03i00548ent IS
+ type v is record
+ a : integer;
+ b : bit;
+ end record;
+ type TM is
+ access v;
+ type FT is -- file decl
+ file of TM; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n03i00548 - Subtype denoted by a filetype cannot have a base type of a file or access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n03i00548arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc549.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc549.vhd
new file mode 100644
index 000000000..6fcaf266c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc549.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc549.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n03i00549ent IS
+END c03s04b00x00p03n03i00549ent;
+
+ARCHITECTURE c03s04b00x00p03n03i00549arch OF c03s04b00x00p03n03i00549ent IS
+ type FT1 is file of Bit_Vector;
+ type FT3 is file of FT1; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n03i00549 - Subtype denoted by a filetype cannot have a base type of a file or access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n03i00549arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc55.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc55.vhd
new file mode 100644
index 000000000..756c27953
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc55.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc55.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p05n02i00055ent IS
+END c04s03b01x01p05n02i00055ent;
+
+ARCHITECTURE c04s03b01x01p05n02i00055arch OF c04s03b01x01p05n02i00055ent IS
+
+BEGIN
+ G1 : for I in 1 to 3 generate
+ I <= I + 1 ; -- Failure_here
+ end generate;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p05n02i00055 - Generate index can not be modified."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p05n02i00055arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc550.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc550.vhd
new file mode 100644
index 000000000..d9ea39e2f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc550.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc550.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n03i00550ent IS
+END c03s04b00x00p03n03i00550ent;
+
+ARCHITECTURE c03s04b00x00p03n03i00550arch OF c03s04b00x00p03n03i00550ent IS
+ type A is access integer;
+ type FT4 is file of A; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n03i00550d - Subtype denoted by a filetype cannot have a base type of a file or access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n03i00550arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc551.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc551.vhd
new file mode 100644
index 000000000..02ec29224
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc551.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc551.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n03i00551ent IS
+END c03s04b00x00p03n03i00551ent;
+
+ARCHITECTURE c03s04b00x00p03n03i00551arch OF c03s04b00x00p03n03i00551ent IS
+ type A is access integer;
+ type R is
+ record
+ E: A;
+ end record;
+ type FT5 is file of R; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n03i00551 - Subtype denoted by a filetype cannot have a base type of a file or access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n03i00551arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc552.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc552.vhd
new file mode 100644
index 000000000..4856ffcdc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc552.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc552.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n04i00552ent IS
+END c03s04b00x00p03n04i00552ent;
+
+ARCHITECTURE c03s04b00x00p03n04i00552arch OF c03s04b00x00p03n04i00552ent IS
+ type ARR ;
+ type LINK is access ARR;
+
+ type ARR is
+ record
+ V1 : Integer;
+ V2 : Integer;
+ V3 : LINK ;
+ end record;
+
+ type A1 is file of ARR; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n04i00552 - Subelement of an access type not allowed here."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n04i00552arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc553.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc553.vhd
new file mode 100644
index 000000000..03ebad9b9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc553.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc553.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c03s04b00x00p03n05i00553ent IS
+END c03s04b00x00p03n05i00553ent;
+
+ARCHITECTURE c03s04b00x00p03n05i00553arch OF c03s04b00x00p03n05i00553ent IS
+ type TM is -- unconstrained array decl
+ array (Integer range <>, Integer range <>) of Integer;
+
+ type FT is -- file decl
+ file of TM; -- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c03s04b00x00p03n05i00553 - A file may not be declared to contain multi dimensional arrays."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c03s04b00x00p03n05i00553arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc57.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc57.vhd
new file mode 100644
index 000000000..1324f2d17
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc57.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc57.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p05n02i00057ent IS
+END c04s03b01x01p05n02i00057ent;
+
+ARCHITECTURE c04s03b01x01p05n02i00057arch OF c04s03b01x01p05n02i00057ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable i : integer; -- loop index
+ variable x : integer;
+ BEGIN
+ i := 10;
+ for i in 1 to 5 loop
+ x := X + 1;
+ i := 5; -- Failure_here - the loop index is being modified.
+ end loop;
+ assert FALSE
+ report "***FAILED TEST:c04s03b01x01p05n02i00057 - A loop index may not be altered within the loop."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p05n02i00057arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc58.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc58.vhd
new file mode 100644
index 000000000..03dece512
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc58.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc58.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p05n01i00058ent IS
+END c04s03b01x01p05n01i00058ent;
+
+ARCHITECTURE c04s03b01x01p05n01i00058arch OF c04s03b01x01p05n01i00058ent IS
+
+BEGIN
+ TESTING : PROCESS
+ BEGIN
+ T1 := 20 ns; --- failure_here
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p05n01i00058 - Generics cannot be updated."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x01p05n01i00058arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc6.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc6.vhd
new file mode 100644
index 000000000..188571d05
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc6.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc6.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p08n01i00006ent IS
+END c04s01b00x00p08n01i00006ent;
+
+ARCHITECTURE c04s01b00x00p08n01i00006arch OF c04s01b00x00p08n01i00006ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type REAL1 is range 1.0 to 1.0;
+ type REAL2 is range 1.0 to 1.0;
+
+ variable V3: REAL1;
+ variable V4: REAL2;
+ BEGIN
+ if V3 = V4 then -- Failure_here
+ -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE
+ null ;
+ end if;
+
+ assert FALSE
+ report "***FAILED TEST:c04s01b00x00p08n01i00006 - Types are different and hence incompatible."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p08n01i00006arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc60.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc60.vhd
new file mode 100644
index 000000000..dcb73049b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc60.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc60.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p05n02i00060ent IS
+END c04s03b01x01p05n02i00060ent;
+
+ARCHITECTURE c04s03b01x01p05n02i00060arch OF c04s03b01x01p05n02i00060ent IS
+ constant C1 : BIT_VECTOR(0 to 7) := "00101011";
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ C1(0 to 3) <= "0011" ; -- Failure_here
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p05n02i00060- Slice of a constant cannot be modified."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p05n02i00060arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc61.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc61.vhd
new file mode 100644
index 000000000..680a122a9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc61.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc61.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p06n01i00061ent IS
+END c04s03b01x01p06n01i00061ent;
+
+ARCHITECTURE c04s03b01x01p06n01i00061arch OF c04s03b01x01p06n01i00061ent IS
+ type integer_file is file of integer;
+ constant x : integer_file; -- Failure_here
+ -- error as the constant is file type
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p06n01i00061 - A constant declaration may not have an access type or a file type as the subtype indication."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p06n01i00061arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc62.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc62.vhd
new file mode 100644
index 000000000..a94be3d32
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc62.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc62.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x01p06n01i00062ent IS
+END c04s03b01x01p06n01i00062ent;
+
+ARCHITECTURE c04s03b01x01p06n01i00062arch OF c04s03b01x01p06n01i00062ent IS
+ type a1 is access integer;
+ constant x : a1; -- Failure_here
+ -- error as the constant is access type
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x01p06n01i00062 - A constant declaration may not have an access type or a file type as the subtype indication."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x01p06n01i00062arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc65.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc65.vhd
new file mode 100644
index 000000000..986dac6a2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc65.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc65.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p02n01i00065ent IS
+END c04s03b01x02p02n01i00065ent;
+
+ARCHITECTURE c04s03b01x02p02n01i00065arch OF c04s03b01x02p02n01i00065ent IS
+ signal S1 Integer:= 10 ; --- Failure_here
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 10 ns;
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p02n01i00065 - Missing colon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x02p02n01i00065arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc67.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc67.vhd
new file mode 100644
index 000000000..dfde88914
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc67.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc67.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p07n01i00067ent IS
+END c04s03b01x02p07n01i00067ent;
+
+ARCHITECTURE c04s03b01x02p07n01i00067arch OF c04s03b01x02p07n01i00067ent IS
+ type xyz is (foo, glitch, foobar);
+ signal dude : xyz := 'a'; -- Failure_here
+ signal INDEX : INTEGER range 0 to 99 := 1000; -- Failure_here
+ -- 1000 is not within the given range.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p07n01i00067- Signal expression must be as the same type as the signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x02p07n01i00067arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc7.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc7.vhd
new file mode 100644
index 000000000..6a695fc04
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc7.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc7.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p08n01i00007ent IS
+END c04s01b00x00p08n01i00007ent;
+
+ARCHITECTURE c04s01b00x00p08n01i00007arch OF c04s01b00x00p08n01i00007ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type ENUM1 is ('1');
+ type ENUM2 is ('1');
+
+ variable V5: ENUM1;
+ variable V6: ENUM2;
+ BEGIN
+ if V5 = V6 then -- Failure_here
+ -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE
+ null ;
+ end if;
+
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p08n01i00007 - Types are different and hence incompatible."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p08n01i00007arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc71.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc71.vhd
new file mode 100644
index 000000000..58842172b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc71.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc71.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p09n01i00071ent IS
+END c04s03b01x02p09n01i00071ent;
+
+ARCHITECTURE c04s03b01x02p09n01i00071arch OF c04s03b01x02p09n01i00071ent IS
+ type y is file of integer;
+ signal s2 : y; -- Failure_here
+ -- error as the signal is a file type.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s03b01x02p09n01i00071 - Signal can not be declared to be a file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x02p09n01i00071arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc714.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc714.vhd
new file mode 100644
index 000000000..4575a824e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc714.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc714.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b00x00p02n01i00714ent IS
+BEGIN
+ ;
+
+ ARCHITECTURE c01s01b00x00p02n01i00714arch OF c01s01b00x00p02n01i00714ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b00x00p02n01i00714 - Missing end in entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b00x00p02n01i00714arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc715.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc715.vhd
new file mode 100644
index 000000000..5f40e283d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc715.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc715.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b00x00p02n01i00715ent IS
+END c01s01b00x00p02n01i00715ent;
+
+ARCHITECTURE c01s01b00x00p02n01i00715arch OF c01s01b00x00p02n01i00715ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b00x00p02n01i00715 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b00x00p02n01i00715arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc716.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc716.vhd
new file mode 100644
index 000000000..86b6f9fa2
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc716.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc716.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b00x00p02n01i00716ent IS
+END c01s01b00x00p02n01i00716ent;
+
+ARCHITECTURE c01s01b00x00p02n01i00716arch OF c01s01b00x00p02n01i00716ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b00x00p02n01i00716 - Missing is in entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b00x00p02n01i00716arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc718.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc718.vhd
new file mode 100644
index 000000000..acf97a84b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc718.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc718.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY IS
+END c01s01b00x00p02n01i00718ent;
+-- missing identifier
+
+ARCHITECTURE c01s01b00x00p02n01i00718arch OF c01s01b00x00p02n01i00718ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b00x00p02n01i00718 - Missing identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b00x00p02n01i00718arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc72.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc72.vhd
new file mode 100644
index 000000000..be56c1330
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc72.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc72.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p09n01i00072ent IS
+END c04s03b01x02p09n01i00072ent;
+
+ARCHITECTURE c04s03b01x02p09n01i00072arch OF c04s03b01x02p09n01i00072ent IS
+ type x is access integer;
+ signal s1 : x; -- Failure_here
+ -- error as the signal is an access type.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p09n01i00072 - Signal can not be declared to be an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b01x02p09n01i00072arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc720.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc720.vhd
new file mode 100644
index 000000000..abaeec7f1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc720.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc720.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b00x00p04n01i00720ent IS
+END c01s01b00x00p04n01i00720ent;
+
+ARCHITECTURE c01s01b00x00p04n01i00720arch OF c01s01b00x00p04n01i00720ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b00x00p04n01i00720 - Entity_simple_name differs from identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b00x00p04n01i00720arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc721.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc721.vhd
new file mode 100644
index 000000000..4a4caf7f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc721.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc721.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p02n01i00721ent IS
+ port (B:BIT);
+ generic (N:natural:= 2); -- Failure_here
+ -- Generic clause must precede the port clause
+END c01s01b01x00p02n01i00721ent;
+
+ARCHITECTURE c01s01b01x00p02n01i00721arch OF c01s01b01x00p02n01i00721ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p02n01i00721 - Generic declarations should come before port declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p02n01i00721arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc722.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc722.vhd
new file mode 100644
index 000000000..3592d8c78
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc722.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc722.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p03n01i00722ent IS
+ generic (( constant i : integer ); -- extra parenthesis
+ END c01s01b01x00p03n01i00722ent;
+
+ ARCHITECTURE c01s01b01x00p03n01i00722arch OF c01s01b01x00p03n01i00722ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p03n01i00722 - Unbalanced parenthesis in generic clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b01x00p03n01i00722arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc723.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc723.vhd
new file mode 100644
index 000000000..469001d64
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc723.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc723.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p03n01i00723ent IS
+ generic ( constant i : integer
+ )); -- extra parenthesis
+END c01s01b01x00p03n01i00723ent;
+
+ARCHITECTURE c01s01b01x00p03n01i00723arch OF c01s01b01x00p03n01i00723ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p03n01i00723 - Unbalanced parenthesis in generic clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p03n01i00723arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc724.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc724.vhd
new file mode 100644
index 000000000..c9cc3d347
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc724.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc724.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p03n01i00724ent IS
+
+ generic (( constant i : integer -- extra parenthesis
+ )); -- extra parenthesis
+
+END c01s01b01x00p03n01i00724ent;
+
+ARCHITECTURE c01s01b01x00p03n01i00724arch OF c01s01b01x00p03n01i00724ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p03n01i00724 - Extra parenthesis in generic clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p03n01i00724arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc725.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc725.vhd
new file mode 100644
index 000000000..2690dee99
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc725.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc725.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p03n01i00725ent IS
+ generic ( constant i : integer
+ );
+ generic ( constant j : integer
+ );
+END c01s01b01x00p03n01i00725ent;
+
+ARCHITECTURE c01s01b01x00p03n01i00725arch OF c01s01b01x00p03n01i00725ent IS
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p03n01i00725 - Extra generic clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p03n01i00725arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc726.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc726.vhd
new file mode 100644
index 000000000..fe72945d0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc726.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc726.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p03n01i00726ent IS
+ generic (T1 : Time;I1 : Integer) --- Failure_here
+END c01s01b01x00p03n01i00726ent;
+
+ARCHITECTURE c01s01b01x00p03n01i00726arch OF c01s01b01x00p03n01i00726ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p03n01i00726 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p03n01i00726arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc727.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc727.vhd
new file mode 100644
index 000000000..4003e38aa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc727.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc727.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p04n01i00727ent IS
+ port (( signal s : bit -- extra parenthesis
+ );
+ END c01s01b01x00p04n01i00727ent;
+
+ ARCHITECTURE c01s01b01x00p04n01i00727arch OF c01s01b01x00p04n01i00727ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p04n01i00727 - Unbalanced parenthesis in port clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b01x00p04n01i00727arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc728.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc728.vhd
new file mode 100644
index 000000000..548e13361
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc728.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc728.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p04n01i00728ent IS
+ port ( signal s : bit
+ )); -- extra parenthesis
+END c01s01b01x00p04n01i00728ent;
+
+ARCHITECTURE c01s01b01x00p04n01i00728arch OF c01s01b01x00p04n01i00728ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p04n01i00728 - Unbalanced parenthesis in port clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p04n01i00728arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc729.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc729.vhd
new file mode 100644
index 000000000..55f770439
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc729.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc729.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p04n01i00729ent IS
+ port (( signal s : bit -- extra parenthesis
+ )); -- extra parenthesis
+END c01s01b01x00p04n01i00729ent;
+
+ARCHITECTURE c01s01b01x00p04n01i00729arch OF c01s01b01x00p04n01i00729ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p04n01i00729 - Extra parenthesis in port clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p04n01i00729arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc73.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc73.vhd
new file mode 100644
index 000000000..2522e67ff
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc73.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc73.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p09n02i00073ent IS
+END c04s03b01x02p09n02i00073ent;
+
+ARCHITECTURE c04s03b01x02p09n02i00073arch OF c04s03b01x02p09n02i00073ent IS
+ signal s1 : bit register; -- Failure_here
+ -- a guarded signal, but is not a resolved signal.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p09n02i00073- Guarded signal should be a resolved signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x02p09n02i00073arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc730.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc730.vhd
new file mode 100644
index 000000000..d6b0e89d9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc730.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc730.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p04n01i00730ent IS
+ port ( signal s : bit
+ );
+ port ( signal t : bit -- illegal second port clause
+ );
+END c01s01b01x00p04n01i00730ent;
+
+ARCHITECTURE c01s01b01x00p04n01i00730arch OF c01s01b01x00p04n01i00730ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p04n01i00730 - Extra port clause."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p04n01i00730arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc732.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc732.vhd
new file mode 100644
index 000000000..3391301f3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc732.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc732.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x00p04n01i00732ent IS
+ -- A basic entity with a port
+ port ( signal s : bit) --Failure_here
+END c01s01b01x00p04n01i00732ent;
+
+ARCHITECTURE c01s01b01x00p04n01i00732arch OF c01s01b01x00p04n01i00732ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p04n01i00732 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p04n01i00732arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc733.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc733.vhd
new file mode 100644
index 000000000..d7d891891
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc733.vhd
@@ -0,0 +1,92 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc733.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s01b01x00p05n01i00733ent_a is
+ generic (
+ constant gc1 : integer;
+ gc2 : natural;
+ constant gc3 : positive
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x00p05n01i00733ent_a;
+
+architecture arch of c01s01b01x00p05n01i00733ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+ENTITY c01s01b01x00p05n01i00733ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x00p05n01i00733ent;
+
+ARCHITECTURE c01s01b01x00p05n01i00733arch OF c01s01b01x00p05n01i00733ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+
+ component comp1
+ generic (
+ constant dgc1 : integer;
+ variable dgc2 : natural;
+ signal dgc3 : positive
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use
+ entity work.c01s01b01x00p05n01i00733ent_a
+ generic map (dgc1, dgc2, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ generic map (3,3,3)
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p05n01i00733 - Variable and signal declaration can not be in local generic clause in component declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p05n01i00733arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc734.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc734.vhd
new file mode 100644
index 000000000..99ba3e42d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc734.vhd
@@ -0,0 +1,92 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc734.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s01b01x00p05n01i00734ent_a is
+ generic (
+ constant gc1 : integer;
+ variable gc2 : natural;
+ signal gc3 : positive
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x00p05n01i00734ent_a;
+
+architecture arch of c01s01b01x00p05n01i00734ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+ENTITY c01s01b01x00p05n01i00734ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x00p05n01i00734ent;
+
+ARCHITECTURE c01s01b01x00p05n01i00734arch OF c01s01b01x00p05n01i00734ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+
+ component comp1
+ generic (
+ constant dgc1 : integer;
+ constant dgc2 : natural;
+ constant dgc3 : positive
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use
+ entity work.c01s01b01x00p05n01i00734ent_a
+ generic map (dgc1, dgc2, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ generic map (3,3,3)
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p05n01i00734 - The generic list in the formal generic clause defines generic constants."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p05n01i00734arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc735.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc735.vhd
new file mode 100644
index 000000000..387522eb9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc735.vhd
@@ -0,0 +1,98 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc735.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c01s01b01x00p05n01i00735pkg is
+ type actype is access integer;
+end c01s01b01x00p05n01i00735pkg;
+
+use work.c01s01b01x00p05n01i00735pkg.all;
+entity c01s01b01x00p05n01i00735ent_a is
+ generic (
+ constant gc1 : integer;
+ constant gc2 : actype;
+ constant gc3 : integer
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x00p05n01i00735ent_a;
+
+architecture arch of c01s01b01x00p05n01i00735ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+use work.c01s01b01x00p05n01i00735pkg.all;
+ENTITY c01s01b01x00p05n01i00735ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x00p05n01i00735ent;
+
+ARCHITECTURE c01s01b01x00p05n01i00735arch OF c01s01b01x00p05n01i00735ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+
+ component comp1
+ generic (
+ constant dgc1 : integer;
+ constant dgc2 : actype;
+ constant dgc3 : integer
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use
+ entity work.c01s01b01x00p05n01i00735ent_a
+ generic map (dgc1, dgc2.all, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ generic map (acint,3,3)
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p05n01i00735 - Formal generic can not be of type access."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p05n01i00735arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc736.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc736.vhd
new file mode 100644
index 000000000..4e0e18f68
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc736.vhd
@@ -0,0 +1,113 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc736.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:41:06 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:27:25 1996 --
+-- **************************** --
+
+
+
+-- **************************** --
+-- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:35:44 1996 --
+-- **************************** --
+
+
+library STD;
+use STD.textio.all;
+entity c01s01b01x00p05n01i00736ent_a is
+ generic (
+ constant gc1 : text;
+ constant gc2 : natural;
+ constant gc3 : positive
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x00p05n01i00736ent_a;
+
+architecture arch of c01s01b01x00p05n01i00736ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+ENTITY c01s01b01x00p05n01i00736ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x00p05n01i00736ent;
+
+ARCHITECTURE c01s01b01x00p05n01i00736arch OF c01s01b01x00p05n01i00736ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+ file f1 : text open read_mode is "e.in";
+
+ component comp1
+ generic (
+ constant dgc1 : text;
+ constant dgc2 : natural;
+ constant dgc3 : positive
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use
+ entity work.c01s01b01x00p05n01i00736ent_a
+ generic map (dgc1, dgc2, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ generic map (f1,3,3)
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x00p05n01i00736 - Formal generic can not be of type FILE."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x00p05n01i00736arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc738.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc738.vhd
new file mode 100644
index 000000000..fedc5266b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc738.vhd
@@ -0,0 +1,92 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc738.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s01b01x01p04n03i00738ent_a is
+ generic (
+ constant gc1 : integer;
+ constant gc2 : natural;
+ constant gc3 : positive
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x01p04n03i00738ent_a;
+
+architecture arch of c01s01b01x01p04n03i00738ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+ENTITY c01s01b01x01p04n03i00738ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x01p04n03i00738ent;
+
+ARCHITECTURE c01s01b01x01p04n03i00738arch OF c01s01b01x01p04n03i00738ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+
+ component comp1
+ generic (
+ constant dgc1 : integer;
+ constant dgc2 : natural;
+ constant dgc3 : positive
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use entity work.c01s01b01x01p04n03i00738ent_a(arch)
+ generic map (dgc1, dgc2, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ generic map (s1,s2,s3)
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x01p04n03i00738 - Formal generic should have actual or default expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x01p04n03i00738arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc739.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc739.vhd
new file mode 100644
index 000000000..93cea6d57
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc739.vhd
@@ -0,0 +1,91 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc739.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s01b01x01p04n03i00739ent_a is
+ generic (
+ constant gc1 : integer;
+ constant gc2 : natural;
+ constant gc3 : positive
+ );
+ port ( signal cent1 : in bit;
+ signal cent2 : in bit
+ );
+end c01s01b01x01p04n03i00739ent_a;
+
+architecture arch of c01s01b01x01p04n03i00739ent_a is
+begin
+ assert false
+ report "FAIL: should not compile";
+end arch;
+
+ENTITY c01s01b01x01p04n03i00739ent IS
+ generic ( constant gen_con : natural := 7 );
+ port ( signal ee1 : in bit;
+ signal ee2 : in bit;
+ signal eo1 : out bit
+ );
+END c01s01b01x01p04n03i00739ent;
+
+ARCHITECTURE c01s01b01x01p04n03i00739arch OF c01s01b01x01p04n03i00739ent IS
+
+ signal s1 : integer;
+ signal s2 : natural;
+ signal s3 : positive;
+
+ component comp1
+ generic (
+ constant dgc1 : integer;
+ constant dgc2 : natural;
+ constant dgc3 : positive
+ );
+ port ( signal dcent1 : in bit;
+ signal dcent2 : in bit
+ );
+ end component;
+
+ for u1 : comp1 use entity work.c01s01b01x01p04n03i00739ent_a(arch)
+ generic map (dgc1, dgc2, dgc3)
+ port map ( dcent1, dcent2 );
+
+BEGIN
+
+ u1 : comp1
+ port map (ee1,ee2);
+
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x01p04n03i00739 - Formal generic should have actual map correspoding to."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x01p04n03i00739arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc74.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc74.vhd
new file mode 100644
index 000000000..2bb2bd09a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc74.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc74.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p09n02i00074ent IS
+END c04s03b01x02p09n02i00074ent;
+
+ARCHITECTURE c04s03b01x02p09n02i00074arch OF c04s03b01x02p09n02i00074ent IS
+ signal s1 : bit bus; -- Failure_here
+ -- a guarded signal, but is not a resolved signal.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p09n02i00074 - Guarded signal should be a resolved signal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x02p09n02i00074arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc75.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc75.vhd
new file mode 100644
index 000000000..426814cdf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc75.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc75.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p10n04i00075ent IS
+END c04s03b01x02p10n04i00075ent;
+
+ARCHITECTURE c04s03b01x02p10n04i00075arch OF c04s03b01x02p10n04i00075ent IS
+ signal X : bit;
+BEGIN
+ TESTING: PROCESS(P)
+ BEGIN
+ X <= P;
+ END PROCESS TESTING;
+
+ TESTING1: PROCESS(Q)
+ BEGIN
+ X <= Q; --Failure Here
+ END PROCESS TESTING1;
+
+ TEST: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x02p10n04i00075 - A signal with multiple source should be a resolved signal."
+ severity ERROR;
+ wait;
+ END PROCESS TEST;
+
+ ENDc04s03b01x02p10n04i00075arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc764.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc764.vhd
new file mode 100644
index 000000000..bb1f934c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc764.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc764.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c01s01b01x02p04n06i00764pkg is
+ type ar_sig_range is range 1 to 8;
+ type ar_signal is array (ar_sig_range) of BIT;
+end c01s01b01x02p04n06i00764pkg;
+
+use WORK.c01s01b01x02p04n06i00764pkg.all;
+ENTITY c01s01b01x02p04n06i00764ent IS
+ port (iface_array : ar_signal;
+ iface_index : ar_sig_range);
+END c01s01b01x02p04n06i00764ent;
+
+ARCHITECTURE c01s01b01x02p04n06i00764arch OF c01s01b01x02p04n06i00764ent IS
+ component COM_1
+ port ( F1 : in BIT);
+ end component;
+BEGIN
+ CIS1: COM_1
+ port map ( iface_array (iface_index)); -- Failure_here
+ -- Signal must be denoted by a static name
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p04n06i00764 - Associated actual does not have a static name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p04n06i00764arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc766.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc766.vhd
new file mode 100644
index 000000000..945ec48f4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc766.vhd
@@ -0,0 +1,67 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc766.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p06n01i00766ent_a IS
+ port ( c1 : in integer ;
+ c2 : in integer );
+END c01s01b01x02p06n01i00766ent_a;
+
+ARCHITECTURE c01s01b01x02p06n01i00766arch_a OF c01s01b01x02p06n01i00766ent_a IS
+
+BEGIN
+ test : process
+ begin
+ wait;
+ end process test;
+END c01s01b01x02p06n01i00766arch_a;
+
+
+ENTITY c01s01b01x02p06n01i00766ent IS
+ port ( p1 : out integer ;
+ p2 : in integer );
+END c01s01b01x02p06n01i00766ent;
+
+ARCHITECTURE c01s01b01x02p06n01i00766arch OF c01s01b01x02p06n01i00766ent IS
+ component c01s01b01x02p06n01i00766ent_b
+ port ( c1 : in integer ;
+ c2 : in integer );
+ end component;
+ for L : c01s01b01x02p06n01i00766ent_b use entity work.c01s01b01x02p06n01i00766ent_a(c01s01b01x02p06n01i00766arch_a);
+BEGIN
+ L: c01s01b01x02p06n01i00766ent_b port map (p1, p2); -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p06n01i00766 - An actual of mode out can not be associated with a formal of mode in."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p06n01i00766arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc767.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc767.vhd
new file mode 100644
index 000000000..479bfb81e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc767.vhd
@@ -0,0 +1,65 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc767.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p07n01i00767ent_a IS
+ port ( c1 : out integer ;
+ c2 : in integer );
+END c01s01b01x02p07n01i00767ent_a;
+
+ARCHITECTURE c01s01b01x02p07n01i00767arch_a OF c01s01b01x02p07n01i00767ent_a IS
+
+BEGIN
+ c1 <= c2;
+END c01s01b01x02p07n01i00767arch_a;
+
+
+ENTITY c01s01b01x02p07n01i00767ent IS
+ port ( p1 : in integer ;
+ p2 : in integer );
+END c01s01b01x02p07n01i00767ent;
+
+ARCHITECTURE c01s01b01x02p07n01i00767arch OF c01s01b01x02p07n01i00767ent IS
+ component c01s01b01x02p07n01i00767ent_b
+ port ( c1 : out integer ;
+ c2 : in integer );
+ end component;
+ for L : c01s01b01x02p07n01i00767ent_b use entity work.c01s01b01x02p07n01i00767ent_a(c01s01b01x02p07n01i00767arch_a);
+BEGIN
+ L :c01s01b01x02p07n01i00767ent_b port map (p1, p2);
+ -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p07n01i00767 - An actual of mode in cannot be associated with a formal of mode out."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p07n01i00767arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc769.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc769.vhd
new file mode 100644
index 000000000..f3257b4ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc769.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc769.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p08n01i00769ent_a IS
+ port ( c1 : inout integer ;
+ c2 : out integer );
+END c01s01b01x02p08n01i00769ent_a;
+
+ARCHITECTURE c01s01b01x02p08n01i00769arch_a OF c01s01b01x02p08n01i00769ent_a IS
+
+BEGIN
+ c2 <= c1;
+END c01s01b01x02p08n01i00769arch_a;
+
+
+
+ENTITY c01s01b01x02p08n01i00769ent IS
+ port ( p1 : out integer ;
+ p2 : inout integer );
+END c01s01b01x02p08n01i00769ent;
+
+ARCHITECTURE c01s01b01x02p08n01i00769arch OF c01s01b01x02p08n01i00769ent IS
+ component c01s01b01x02p08n01i00769ent_b
+ port ( c1 : inout integer ;
+ c2 : out integer );
+ end component ;
+ for L : c01s01b01x02p08n01i00769ent_b use entity work.c01s01b01x02p08n01i00769ent_a(c01s01b01x02p08n01i00769arch_a);
+BEGIN
+ L : c01s01b01x02p08n01i00769ent_b port map (p1, p2);
+ --Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p08n01i00769 - An actual of mode out can not be associated with a formal port of mode inout."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p08n01i00769arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc770.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc770.vhd
new file mode 100644
index 000000000..3f17e50c1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc770.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc770.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p08n01i00770ent_a IS
+ port ( c1 : inout integer ;
+ c2 : out integer );
+END c01s01b01x02p08n01i00770ent_a;
+
+ARCHITECTURE c01s01b01x02p08n01i00770arch_a OF c01s01b01x02p08n01i00770ent_a IS
+
+BEGIN
+ c2 <= c1;
+END c01s01b01x02p08n01i00770arch_a;
+
+
+
+ENTITY c01s01b01x02p08n01i00770ent IS
+ port ( p1 : in integer ;
+ p2 : inout integer );
+END c01s01b01x02p08n01i00770ent;
+
+ARCHITECTURE c01s01b01x02p08n01i00770arch OF c01s01b01x02p08n01i00770ent IS
+ component c01s01b01x02p08n01i00770ent_b
+ port ( c1 : inout integer ;
+ c2 : out integer );
+ end component ;
+ for L : c01s01b01x02p08n01i00770ent_b use entity work.c01s01b01x02p08n01i00770ent_a(c01s01b01x02p08n01i00770arch_a);
+BEGIN
+ L : c01s01b01x02p08n01i00770ent_b port map (p1, p2);
+ --Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p08n01i00770 - An actual of mode in can not be associated with a formal port of mode inout."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p08n01i00770arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc771.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc771.vhd
new file mode 100644
index 000000000..13e5b2121
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc771.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc771.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p08n01i00771ent_a IS
+ port ( c1 : inout integer ;
+ c2 : out integer );
+END c01s01b01x02p08n01i00771ent_a;
+
+ARCHITECTURE c01s01b01x02p08n01i00771arch_a OF c01s01b01x02p08n01i00771ent_a IS
+
+BEGIN
+ c2 <= c1;
+END c01s01b01x02p08n01i00771arch_a;
+
+
+
+ENTITY c01s01b01x02p08n01i00771ent IS
+ port ( p1 : buffer integer ;
+ p2 : inout integer );
+END c01s01b01x02p08n01i00771ent;
+
+ARCHITECTURE c01s01b01x02p08n01i00771arch OF c01s01b01x02p08n01i00771ent IS
+ component c01s01b01x02p08n01i00771ent_b
+ port ( c1 : inout integer ;
+ c2 : out integer );
+ end component ;
+ for L : c01s01b01x02p08n01i00771ent_b use entity work.c01s01b01x02p08n01i00771ent_a(c01s01b01x02p08n01i00771arch_a);
+BEGIN
+ L : c01s01b01x02p08n01i00771ent_b port map (p1, p2);
+ --Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p08n01i00771 - An actual of mode buffer can not be associated with a formal port of mode inout."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p08n01i00771arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc773.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc773.vhd
new file mode 100644
index 000000000..86af30c68
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc773.vhd
@@ -0,0 +1,65 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc773.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p09n01i00773ent_a IS
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+END c01s01b01x02p09n01i00773ent_a;
+
+ARCHITECTURE c01s01b01x02p09n01i00773arch_a OF c01s01b01x02p09n01i00773ent_a IS
+
+BEGIN
+ c1 <= c2;
+END c01s01b01x02p09n01i00773arch_a;
+
+
+ENTITY c01s01b01x02p09n01i00773ent IS
+ port ( p1 : out integer ;
+ p2 : in integer );
+END c01s01b01x02p09n01i00773ent;
+
+ARCHITECTURE c01s01b01x02p09n01i00773arch OF c01s01b01x02p09n01i00773ent IS
+ component c01s01b01x02p09n01i00773ent_b
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+ end component;
+ for L : c01s01b01x02p09n01i00773ent_b use entity work.c01s01b01x02p09n01i00773ent_a(c01s01b01x02p09n01i00773arch_a);
+BEGIN
+ L : c01s01b01x02p09n01i00773ent_b port map (p1, p2);
+ --Failure here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p09n01i00773 - An actual of mode out cannot be associated with a formal port of mode buffer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p09n01i00773arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc774.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc774.vhd
new file mode 100644
index 000000000..59f008b91
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc774.vhd
@@ -0,0 +1,65 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc774.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p09n01i00774ent_a IS
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+END c01s01b01x02p09n01i00774ent_a;
+
+ARCHITECTURE c01s01b01x02p09n01i00774arch_a OF c01s01b01x02p09n01i00774ent_a IS
+
+BEGIN
+ c1 <= c2;
+END c01s01b01x02p09n01i00774arch_a;
+
+
+ENTITY c01s01b01x02p09n01i00774ent IS
+ port ( p1 : in integer ;
+ p2 : in integer );
+END c01s01b01x02p09n01i00774ent;
+
+ARCHITECTURE c01s01b01x02p09n01i00774arch OF c01s01b01x02p09n01i00774ent IS
+ component c01s01b01x02p09n01i00774ent_b
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+ end component;
+ for L : c01s01b01x02p09n01i00774ent_b use entity work.c01s01b01x02p09n01i00774ent_a(c01s01b01x02p09n01i00774arch_a);
+BEGIN
+ L : c01s01b01x02p09n01i00774ent_b port map (p1, p2);
+ --Failure here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p09n01i00774 - An actual of mode in cannot be associated with a formal port of mode buffer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p09n01i00774arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc775.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc775.vhd
new file mode 100644
index 000000000..75b02b57a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc775.vhd
@@ -0,0 +1,65 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc775.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p09n01i00775ent_a IS
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+END c01s01b01x02p09n01i00775ent_a;
+
+ARCHITECTURE c01s01b01x02p09n01i00775arch_a OF c01s01b01x02p09n01i00775ent_a IS
+
+BEGIN
+ c1 <= c2;
+END c01s01b01x02p09n01i00775arch_a;
+
+
+ENTITY c01s01b01x02p09n01i00775ent IS
+ port ( p1 : inout integer ;
+ p2 : in integer );
+END c01s01b01x02p09n01i00775ent;
+
+ARCHITECTURE c01s01b01x02p09n01i00775arch OF c01s01b01x02p09n01i00775ent IS
+ component c01s01b01x02p09n01i00775ent_b
+ port ( c1 : buffer integer ;
+ c2 : in integer );
+ end component;
+ for L : c01s01b01x02p09n01i00775ent_b use entity work.c01s01b01x02p09n01i00775ent_a(c01s01b01x02p09n01i00775arch_a);
+BEGIN
+ L : c01s01b01x02p09n01i00775ent_b port map (p1, p2);
+ --Failure here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p09n01i00775 - An actual of mode inout cannot be associated with a formal port of mode buffer."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p09n01i00775arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc779.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc779.vhd
new file mode 100644
index 000000000..db64d42b7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc779.vhd
@@ -0,0 +1,71 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc779.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p11n02i00779ent_a IS
+ port (c2 : buffer Bit);
+END c01s01b01x02p11n02i00779ent_a;
+
+ARCHITECTURE c01s01b01x02p11n02i00779arch_a OF c01s01b01x02p11n02i00779ent_a IS
+BEGIN
+END c01s01b01x02p11n02i00779arch_a;
+
+
+
+ENTITY c01s01b01x02p11n02i00779ent IS
+ port(P2 : buffer Bit);
+END c01s01b01x02p11n02i00779ent;
+
+ARCHITECTURE c01s01b01x02p11n02i00779arch OF c01s01b01x02p11n02i00779ent IS
+ component c01s01b01x02p11n02i00779ent_b
+ port (C2 : buffer Bit);
+ end component;
+ for L : c01s01b01x02p11n02i00779ent_b use entity work.c01s01b01x02p11n02i00779ent(c01s01b01x02p11n02i00779arch) port map (C2);
+BEGIN
+
+ L : c01s01b01x02p11n02i00779ent_b port map (C2 => P2);
+
+ TEST : Process
+ begin
+ P2 <= bit'('1');
+ wait for 15 ns;
+ end process TEST;
+
+ TESTING: PROCESS
+ BEGIN
+ P2 <= bit'('0'); -- Failure_here
+ -- This error will be indicated at elaboration time.
+ wait for 11 ns;
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p11n02i00779 - Actual can have at most one source."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p11n02i00779arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc780.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc780.vhd
new file mode 100644
index 000000000..b04af79cc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc780.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc780.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p11n01i00780ent IS
+ port ( S : buffer bit );
+END c01s01b01x02p11n01i00780ent;
+
+ARCHITECTURE c01s01b01x02p11n01i00780arch OF c01s01b01x02p11n01i00780ent IS
+
+BEGIN
+ TEST : PROCESS
+ BEGIN
+ S <= bit'('1');
+ wait for 15 ns;
+ END PROCESS TEST;
+
+ TESTING: PROCESS
+ BEGIN
+ S <= bit'('0'); -- Failure_here
+ -- signal S of mode buffer is being
+ -- driven by two sources one in each
+ -- process. Signal S can be driven by
+ -- only one source.
+ -- This error will be indicated at elaboration time
+ wait for 11 ns;
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p11n01i00780 - A buffer port can have at most one source."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p11n01i00780arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc781.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc781.vhd
new file mode 100644
index 000000000..f720de021
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc781.vhd
@@ -0,0 +1,78 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc781.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p12n04i00781ent_a IS
+ port (
+ C1 : in Bit;
+ C2 : inout Bit;
+ C3 : linkage Bit;
+ C4 : out Bit;
+ C5 : Buffer Bit
+ );
+END c01s01b01x02p12n04i00781ent_a;
+
+ARCHITECTURE c01s01b01x02p12n04i00781arch_a OF c01s01b01x02p12n04i00781ent_a IS
+BEGIN
+END c01s01b01x02p12n04i00781arch_a;
+
+
+
+ENTITY c01s01b01x02p12n04i00781ent IS
+ port (
+ A1 : in Bit;
+ A2 : inout Bit;
+ A3 : linkage Bit;
+ A4 : out Bit;
+ A5 : Buffer Bit
+ ) ;
+END c01s01b01x02p12n04i00781ent;
+
+ARCHITECTURE c01s01b01x02p12n04i00781arch OF c01s01b01x02p12n04i00781ent IS
+ component c01s01b01x02p12n04i00781ent_b
+ port (
+ C1 : in Bit;
+ C2 : inout Bit;
+ C3 : linkage Bit;
+ C4 : out Bit;
+ C5 : Buffer Bit
+ );
+ end component;
+ for L : c01s01b01x02p12n04i00781ent_b use entity work.c01s01b01x02p12n04i00781ent_a(c01s01b01x02p12n04i00781arch_a);
+BEGIN
+ L : c01s01b01x02p12n04i00781ent_b port map ( C1 => open, C2 => open, C3 => open, C4 => open, C5 => open );
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p12n04i00781 - A port of mode in may not be unconnected."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p12n04i00781arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc783.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc783.vhd
new file mode 100644
index 000000000..a183daae8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc783.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc783.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b01x02p12n04i00783a IS
+ port ( c1 : out bit_vector;
+ c2 : inout bit_vector;
+ c3 : buffer bit_vector;
+ c4 : linkage bit_vector);
+END c01s01b01x02p12n04i00783ent_a;
+
+ARCHITECTURE c01s01b01x02p12n04i00783arch_a OF c01s01b01x02p12n04i00783ent_a IS
+BEGIN
+END c01s01b01x02p12n04i00783arch_a;
+
+
+
+ENTITY c01s01b01x02p12n04i00783ent IS
+END c01s01b01x02p12n04i00783ent;
+
+ARCHITECTURE c01s01b01x02p12n04i00783arch OF c01s01b01x02p12n04i00783ent IS
+ component c01s01b01x02p12n04i00783ent_b
+ port ( c1 : out bit_vector;
+ c2 : inout bit_vector;
+ c3 : buffer bit_vector;
+ c4 : linkage bit_vector);
+ end component;
+ for L : c01s01b01x02p12n04i00783ent_b use entity work.c01s01b01x02p12n04i00783ent_a(c01s01b01x02p12n04i00783arch_a);
+BEGIN
+ L : ch01010102_p01204_03_ent_b
+ port map ( OPEN, -- Failure_here
+ OPEN, -- Failure_here
+ OPEN, -- Failure_here
+ OPEN); -- Failure_here
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b01x02p12n04i00783 - The port which is of mode other than in and whose type is unconstrained may not be unconnected."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b01x02p12n04i00783arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc785.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc785.vhd
new file mode 100644
index 000000000..48f266e46
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc785.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc785.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00785ent IS
+ configuration C of E is -- component illegal here
+ for junk
+ end for;
+ end C;
+ END c01s01b02x00p03n01i00785ent;
+
+ ARCHITECTURE c01s01b02x00p03n01i00785arch OF c01s01b02x00p03n01i00785ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00785 - Configuration declarations are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b02x00p03n01i00785arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc786.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc786.vhd
new file mode 100644
index 000000000..48c5146e8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc786.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc786.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00786ent IS
+ --
+ -- Component declarations are not allowed here
+ --
+ component -- component illegal here
+ end component;
+END c01s01b02x00p03n01i00786ent;
+
+ARCHITECTURE c01s01b02x00p03n01i00786arch OF c01s01b02x00p03n01i00786ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00786 - Component declarations are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b02x00p03n01i00786arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc787.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc787.vhd
new file mode 100644
index 000000000..e7b28a6b9
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc787.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc787.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00787ent IS
+ variable illegal : integer; -- variable illegal here
+END c01s01b02x00p03n01i00787ent;
+
+ARCHITECTURE c01s01b02x00p03n01i00787arch OF c01s01b02x00p03n01i00787ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00787 - Variable declarations are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b02x00p03n01i00787arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc788.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc788.vhd
new file mode 100644
index 000000000..03ac31217
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc788.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc788.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00788ent IS
+ entity illegal is -- entity illegal here
+ end illegal;
+ END c01s01b02x00p03n01i00788ent;
+
+ ARCHITECTURE c01s01b02x00p03n01i00788arch OF c01s01b02x00p03n01i00788ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00788 - Entity declarations are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b02x00p03n01i00788arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc789.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc789.vhd
new file mode 100644
index 000000000..6e70cb74b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc789.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc789.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00789ent IS
+ package c01s01b02x00p03n01i00789pkg is -- package illegal here
+ end c01s01b02x00p03n01i00789pkg;
+ END c01s01b02x00p03n01i00789ent;
+
+ ARCHITECTURE c01s01b02x00p03n01i00789arch OF c01s01b02x00p03n01i00789ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00789 - Package declarations are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b02x00p03n01i00789arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc79.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc79.vhd
new file mode 100644
index 000000000..50f6d0ed3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc79.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc79.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x02p12n01i00079ent IS
+END c04s03b01x02p12n01i00079ent;
+
+ARCHITECTURE c04s03b01x02p12n01i00079arch OF c04s03b01x02p12n01i00079ent IS
+ type arrbit is array (1 to 3) of bit;
+ type comp_vect is array (positive range <>) of arrbit;
+
+ function F(BB: comp_vect) return arrbit is
+ begin
+ return "111";
+ end;
+
+ signal X : F arrbit ;
+BEGIN
+ TESTING: PROCESS(P)
+ BEGIN
+ X(1) <= P; -- Failure_here
+ -- error as only one subelement of X has
+ -- a driver in this process.
+ assert FALSE
+ report "***FAILED TEST:c04s03b01x02p12n01i00079 - All of the subelements of the signal should have a driver in a process."
+ severity ERROR;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x02p12n01i00079arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc790.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc790.vhd
new file mode 100644
index 000000000..ef76e6878
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc790.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc790.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b02x00p03n01i00790ent IS
+ architecture A of E is -- architecture illegal here
+ begin
+ end A;
+ END c01s01b02x00p03n01i00790ent;
+
+ ARCHITECTURE c01s01b02x00p03n01i00790arch OF c01s01b02x00p03n01i00790ent IS
+
+ BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b02x00p03n01i00790 - Architecture body are not permitted in an entity declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ END c01s01b02x00p03n01i00790arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc793.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc793.vhd
new file mode 100644
index 000000000..75a767431
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc793.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc793.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p04n01i00793ent IS
+ port (CLK: inout bit);
+begin
+ process
+ begin
+ CLK <= not CLK; --Failure_here. error as process is not passive.
+ end process;
+END c01s01b03x00p04n01i00793ent;
+
+ARCHITECTURE c01s01b03x00p04n01i00793arch OF c01s01b03x00p04n01i00793ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p04n01i00793 - All entity statements must be passive."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p04n01i00793arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc794.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc794.vhd
new file mode 100644
index 000000000..d99de4d8a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc794.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc794.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00794ent IS
+begin
+ port ( isig : in bit;
+ osig : out bit );
+END c01s01b03x00p03n01i00794ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00794arch OF c01s01b03x00p03n01i00794ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00794 - Port clause is not permitted as an entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00794arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc795.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc795.vhd
new file mode 100644
index 000000000..8d8e3b66d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc795.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc795.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00795ent IS
+begin
+ generic ( constant const : boolean );
+END c01s01b03x00p03n01i00795ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00795arch OF c01s01b03x00p03n01i00795ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00795 - Generic clause is not permitted as an entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00795arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc796.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc796.vhd
new file mode 100644
index 000000000..2d47bfb5a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc796.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc796.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00796ent IS
+begin
+ wait 3 ns; -- illegal location for wait
+END c01s01b03x00p03n01i00796ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00796arch OF c01s01b03x00p03n01i00796ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00796 - Wait statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00796arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc797.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc797.vhd
new file mode 100644
index 000000000..c465d7239
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc797.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc797.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00797ent IS
+ signal err : boolean := false;
+begin
+ err <= true; -- illegal location for signal assignment
+END c01s01b03x00p03n01i00797ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00797arch OF c01s01b03x00p03n01i00797ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00797 - Signal assignment statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00797arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc798.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc798.vhd
new file mode 100644
index 000000000..c745eff0c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc798.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc798.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00798ent IS
+ signal err : boolean := false;
+begin
+ case err is -- illegal location for case statement
+ when true | false =>
+ assert false
+ report "'case' statement accepted in an entity statement."
+ severity note ;
+ end case;
+END c01s01b03x00p03n01i00798ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00798arch OF c01s01b03x00p03n01i00798ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00798 - Case statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00798arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc799.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc799.vhd
new file mode 100644
index 000000000..5f9f0370c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc799.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc799.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00799ent IS
+
+begin
+ if TRUE then -- illegal location for if statement
+ assert false
+ report "'if' statement accepted in an entity statement."
+ severity note ;
+ end if;
+END c01s01b03x00p03n01i00799ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00799arch OF c01s01b03x00p03n01i00799ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00799 - If statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00799arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc8.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc8.vhd
new file mode 100644
index 000000000..7a26fa8d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc8.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc8.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p08n01i00008ent IS
+END c04s01b00x00p08n01i00008ent;
+
+ARCHITECTURE c04s01b00x00p08n01i00008arch OF c04s01b00x00p08n01i00008ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 1) of BOOLEAN;
+ type A2 is array (1 to 1) of BOOLEAN;
+
+ variable V7: A1;
+ variable V8: A2;
+ BEGIN
+ if V7 = V8 then -- Failure_here
+ -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE
+ null ;
+ end if;
+
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p08n01i00008 - Types are different and hence incompatible."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p08n01i00008arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc800.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc800.vhd
new file mode 100644
index 000000000..f0f428e40
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc800.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc800.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00800ent IS
+
+begin
+ L: loop -- illegal location for loop statement
+ end loop L;
+END c01s01b03x00p03n01i00800ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00800arch OF c01s01b03x00p03n01i00800ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00800 - Loop statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00800arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc801.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc801.vhd
new file mode 100644
index 000000000..b41de037e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc801.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc801.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00801ent IS
+
+begin
+ next; -- illegal location for next statement
+END c01s01b03x00p03n01i00801ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00801arch OF c01s01b03x00p03n01i00801ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00801 - Next statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00801arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc802.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc802.vhd
new file mode 100644
index 000000000..0e0787593
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc802.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc802.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00802ent IS
+
+begin
+ exit; -- illegal location for exit statement
+END c01s01b03x00p03n01i00802ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00802arch OF c01s01b03x00p03n01i00802ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00802 - Exit statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00802arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc803.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc803.vhd
new file mode 100644
index 000000000..fdab6f6ed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc803.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc803.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00803ent IS
+begin
+ return; -- illegal location for return statement
+END c01s01b03x00p03n01i00803ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00803arch OF c01s01b03x00p03n01i00803ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00803 - Return statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00803arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc804.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc804.vhd
new file mode 100644
index 000000000..ffcc8e0a1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc804.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc804.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s01b03x00p03n01i00804ent IS
+begin
+ return; -- illegal location for return statement
+END c01s01b03x00p03n01i00804ent;
+
+ARCHITECTURE c01s01b03x00p03n01i00804arch OF c01s01b03x00p03n01i00804ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s01b03x00p03n01i00804 - Null statement can not appear in entity statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s01b03x00p03n01i00804arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc806.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc806.vhd
new file mode 100644
index 000000000..3218fd649
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc806.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc806.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00806ent IS
+END c01s02b00x00p02n01i00806ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00806arch OF c01s02b00x00p02n01i00806ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00806 - Missing identifier."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00806arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc807.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc807.vhd
new file mode 100644
index 000000000..557052767
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc807.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc807.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00807ent IS
+END c01s02b00x00p02n01i00807ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00807arch OF c01s02b00x00p02n01i00807ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00807 - The name after the reserved word of is not an entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00807arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc808.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc808.vhd
new file mode 100644
index 000000000..cd0751347
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc808.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc808.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00808ent IS
+END c01s02b00x00p02n01i00808ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00808arch OF c01s02b00x00p02n01i00808ent --failure here
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00808 - Reserved word is has to follow reserved word for."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00808arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc809.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc809.vhd
new file mode 100644
index 000000000..c9149fffe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc809.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc809.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00809ent IS
+END c01s02b00x00p02n01i00809ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00809arch OF c01s02b00x00p02n01i00809ent --failure here
+
+ entity B is -- Failure_here
+-- ERROR - entity not allowed here
+end;
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00809 - Entity declaration is not allowed in an architecture."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00809arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc810.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc810.vhd
new file mode 100644
index 000000000..8d3da7278
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc810.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc810.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00810ent IS
+END c01s02b00x00p02n01i00810ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00810arch OF c01s02b00x00p02n01i00810ent IS
+ --failure here
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00810 - Reserved word 'is' is not followed by reserved word 'begin'."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00810arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc811.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc811.vhd
new file mode 100644
index 000000000..6e84d9026
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc811.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc811.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00811ent IS
+END c01s02b00x00p02n01i00811ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00811arch OF c01s02b00x00p02n01i00811ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00811 - Architecture statement part is not followed by the reserved word end."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ c01s02b00x00p02n01i00811arch; --Failure here
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc812.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc812.vhd
new file mode 100644
index 000000000..034717ce6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc812.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc812.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p02n01i00812ent IS
+END c01s02b00x00p02n01i00812ent;
+
+ARCHITECTURE c01s02b00x00p02n01i00812arch OF c01s02b00x00p02n01i00812ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p02n01i00812 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p02n01i00812arch --Failure here
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc813.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc813.vhd
new file mode 100644
index 000000000..3c27acdd5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc813.vhd
@@ -0,0 +1,42 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc813.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ARCHITECTURE c01s02b00x00p04n02i00813arch OF c01s02b00x00p04n02i00813ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p04n02i00813 - Entity declaration and architecture body must reside in the same library."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p04n02i00813arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc815.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc815.vhd
new file mode 100644
index 000000000..127cbd623
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc815.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc815.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b00x00p05n01i00815ent IS
+END c01s02b00x00p05n01i00815ent;
+
+ARCHITECTURE c01s02b00x00p05n01i00815arch OF c01s02b00x00p05n01i00815ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b00x00p05n01i00815 - Simple name at the end of architecture does not repeat the identifier of the architecure."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b00x00p05n01i00815;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc818.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc818.vhd
new file mode 100644
index 000000000..b6a0619b8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc818.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc818.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b01x00p03n01i00818ent IS
+END c01s02b01x00p03n01i00818ent;
+
+ARCHITECTURE c01s02b01x00p03n01i00818arch OF c01s02b01x00p03n01i00818ent IS
+ variable err : boolean := true; -- illegal location for variable declaration
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b01x00p03n01i00818 - Variable declaration can not appear in the architecture declaration part."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b01x00p03n01i00818arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc819.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc819.vhd
new file mode 100644
index 000000000..4640e106e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc819.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc819.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b01x00p03n01i00819ent IS
+END c01s02b01x00p03n01i00819ent;
+
+ARCHITECTURE c01s02b01x00p03n01i00819arch OF c01s02b01x00p03n01i00819ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b01x00p03n01i00819 - Only concurrent statements allowed in architecture statement part."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ wait;
+
+END c01s02b01x00p03n01i00819arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc821.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc821.vhd
new file mode 100644
index 000000000..8e05c185a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc821.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc821.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00821ent IS
+END c01s02b02x00p02n01i00821ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00821arch OF c01s02b02x00p02n01i00821ent IS
+ signal err : boolean := true;
+BEGIN
+
+ case err is -- illegal location for case statement
+ when true | false =>
+ assert false
+ report "'case' statement accepted in an entity statement."
+ severity note ;
+ end case;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00821 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00821arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc822.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc822.vhd
new file mode 100644
index 000000000..8ca172173
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc822.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc822.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00822ent IS
+END c01s02b02x00p02n01i00822ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00822arch OF c01s02b02x00p02n01i00822ent IS
+
+BEGIN
+
+ if TRUE then -- illegal location for if statement
+ end if;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00822 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00822arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc823.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc823.vhd
new file mode 100644
index 000000000..17b3a23b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc823.vhd
@@ -0,0 +1,49 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc823.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00823ent IS
+END c01s02b02x00p02n01i00823ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00823arch OF c01s02b02x00p02n01i00823ent IS
+
+BEGIN
+
+ L: loop -- illegal location for loop statement
+ end loop L;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00823 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00823arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc824.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc824.vhd
new file mode 100644
index 000000000..7ebe65c96
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc824.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc824.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00824ent IS
+END c01s02b02x00p02n01i00824ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00824arch OF c01s02b02x00p02n01i00824ent IS
+
+BEGIN
+
+ next; -- illegal location for next statement
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00824 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00824arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc825.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc825.vhd
new file mode 100644
index 000000000..173cc1625
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc825.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc825.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00825ent IS
+END c01s02b02x00p02n01i00825ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00825arch OF c01s02b02x00p02n01i00825ent IS
+
+BEGIN
+
+ exit; -- illegal location for exit statement
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00825 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00825arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc826.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc826.vhd
new file mode 100644
index 000000000..10152cb32
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc826.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc826.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00826ent IS
+END c01s02b02x00p02n01i00826ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00826arch OF c01s02b02x00p02n01i00826ent IS
+
+BEGIN
+
+ return; -- illegal location for return statement
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00826 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00826arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc827.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc827.vhd
new file mode 100644
index 000000000..bcf6553f0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc827.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc827.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00827ent IS
+END c01s02b02x00p02n01i00827ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00827arch OF c01s02b02x00p02n01i00827ent IS
+
+BEGIN
+
+ null; -- illegal location for null statement
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00827 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00827arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc828.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc828.vhd
new file mode 100644
index 000000000..5ca95c406
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc828.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc828.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s02b02x00p02n01i00828ent IS
+END c01s02b02x00p02n01i00828ent;
+
+ARCHITECTURE c01s02b02x00p02n01i00828arch OF c01s02b02x00p02n01i00828ent IS
+
+BEGIN
+
+ wait 3 ns; -- illegal location for wait statement
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s02b02x00p02n01i00828 - Architecture statement can only have concurrent statement."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s02b02x00p02n01i00828arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc829.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc829.vhd
new file mode 100644
index 000000000..b762dddb8
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc829.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc829.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b00x00p02n01i00829ent IS
+END c01s03b00x00p02n01i00829ent;
+
+ARCHITECTURE c01s03b00x00p02n01i00829arch OF c01s03b00x00p02n01i00829ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b00x00p02n01i00829- Missing entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b00x00p02n01i00829arch;
+
+use work.all;
+configuration C of is --- Failure_here
+ for c01s03b00x00p02n01i00829_arch
+ use WORK.all ;
+ end for ;
+end C;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc830.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc830.vhd
new file mode 100644
index 000000000..e20bafe1f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc830.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc830.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b00x00p02n01i00830ent IS
+END c01s03b00x00p02n01i00830ent;
+
+ARCHITECTURE c01s03b00x00p02n01i00830arch OF c01s03b00x00p02n01i00830ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b00x00p02n01i00830 - Missing identifier after the reserved word CONFIGURATION in the configuration declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b00x00p02n01i00830arch;
+
+use work.all;
+CONFIGURATION OF c01s03b00x00p02n01i00830ent IS --- Failure_here
+ FOR c01s03b00x00p02n01i00830arch
+ use WORK.all ;
+ END FOR;
+END c01s03b00x00p02n01i00830cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc831.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc831.vhd
new file mode 100644
index 000000000..cf2a890fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc831.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc831.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b00x00p02n01i00831ent IS
+END c01s03b00x00p02n01i00831ent;
+
+ARCHITECTURE c01s03b00x00p02n01i00831arch OF c01s03b00x00p02n01i00831ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b00x00p02n01i00831 - Missing entity name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b00x00p02n01i00831arch;
+
+use work.all;
+configuration C of c01s03b00x00p02n01i00831ent is
+ for c01s03b00x00p02n01i00831arch
+ use WORK.all ;
+ end for ;
+ C; -- Failure_here
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc832.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc832.vhd
new file mode 100644
index 000000000..276d7423b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc832.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc832.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b00x00p02n01i00832ent IS
+END c01s03b00x00p02n01i00832ent;
+
+ARCHITECTURE c01s03b00x00p02n01i00832arch OF c01s03b00x00p02n01i00832ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b00x00p02n01i00832 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b00x00p02n01i00832arch;
+
+use work.all;
+configuration C of c01s03b00x00p02n01i00832ent is
+ for c01s03b00x00p02n01i00832arch
+ use WORK.all ;
+ end for ;
+end C -- Failure_here
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc834.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc834.vhd
new file mode 100644
index 000000000..589f3e242
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc834.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc834.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+configuration c01s03b00x00p05n02i00834cfg of c01s03b00x00p05n02i00834ent is --Failure here
+ for c01s03b00x00p05n02i00834arch
+ use work.all;
+ end for;
+end for;
+
+
+--ENTITY c01s03b00x00p05n02i00834ent IS
+--END c01s03b00x00p05n02i00834ent;
+--
+--ARCHITECTURE c01s03b00x00p05n02i00834arch OF c01s03b00x00p05n02i00834ent IS
+--
+--BEGIN
+-- TESTING: PROCESS
+-- BEGIN
+-- assert FALSE
+-- report "***FAILED TEST: c01s03b00x00p05n02i00834 - Configuration declaration and corresponding entity declaration must reside in the same library."
+-- severity ERROR;
+-- wait;
+-- END PROCESS TESTING;
+--
+--END c01s03b00x00p05n02i00834arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc835.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc835.vhd
new file mode 100644
index 000000000..1556fbd97
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc835.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc835.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b00x00p06n01i00835ent IS
+END c01s03b00x00p06n01i00835ent;
+
+ARCHITECTURE c01s03b00x00p06n01i00835arch OF c01s03b00x00p06n01i00835ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b00x00p06n01i00835 - Simple name at beginning and end of configuration should be the same."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b00x00p06n01i00835arch;
+
+configuration C of c01s03b00x00p06n01i00835ent is
+ for c01s03b00x00p06n01i00835arch
+ use WORK.all ;
+ end for ;
+end C2; -- Failure_here
+-- ERROR: name given at the end must be the same as that given beginning.
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc836.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc836.vhd
new file mode 100644
index 000000000..72bc17a70
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc836.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc836.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b01x00p02n01i00836ent IS
+END c01s03b01x00p02n01i00836ent;
+
+ARCHITECTURE c01s03b01x00p02n01i00836arch OF c01s03b01x00p02n01i00836ent IS
+
+BEGIN
+ DE : block
+ signal S1 : BOOLEAN;
+ begin
+ S1 <= true;
+ end block DE;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p02n01i00836 - Binding indications are not allowed in a configuration of a block."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p02n01i00836arch;
+
+configuration c01s03b01x00p02n01i00836cfg of c01s03b01x00p02n01i00836ent is
+ for c01s03b01x00p02n01i00836arch
+ for DE use -- Failure_here : binding indications are not allowed in a
+ -- configuration of a block
+ entity work.entity0 open;
+ end for;
+ end c01s03b01x00p02n01i00836cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc838.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc838.vhd
new file mode 100644
index 000000000..333c79d92
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc838.vhd
@@ -0,0 +1,84 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc838.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s03b01x00p02n01i00838ent_a is
+end c01s03b01x00p02n01i00838ent_a;
+
+architecture c01s03b01x00p02n01i00838arch_a of c01s03b01x00p02n01i00838ent_a is
+begin
+ AC_BLK : block
+ signal B : BIT;
+ begin
+ B <= '1';
+ end block;
+end;
+
+ENTITY c01s03b01x00p02n01i00838ent IS
+END c01s03b01x00p02n01i00838ent;
+
+ARCHITECTURE c01s03b01x00p02n01i00838arch OF c01s03b01x00p02n01i00838ent IS
+
+BEGIN
+ A_BLK : block
+ component C
+ end component;
+ begin
+ L1 : C;
+ L2 : C;
+ L3 : C;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p02n01i00838 - Missing semicolon."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p02n01i00838arch;
+
+configuration c01s03b01x00p02n01i00838cfg of c01s03b01x00p02n01i00838ent is
+ for c01s03b01x00p02n01i00838arch
+ for A_BLK
+ for L1 : C
+ use entity work.c01s03b01x00p02n01i00838ent_a (c01s03b01x00p02n01i00838arch_a) ;
+ end for;
+
+ for L2 : C
+ use entity work.c01s03b01x00p02n01i00838ent_a (c01s03b01x00p02n01i00838arch_a) ;
+ end for;
+
+ for L3 : C
+ use entity work.c01s03b01x00p02n01i00838ent_a (c01s03b01x00p02n01i00838arch_a) ;
+ end for --- Failure_here
+ end for;
+ end for;
+end c01s03b01x00p02n01i00838cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc839.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc839.vhd
new file mode 100644
index 000000000..022ec2964
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc839.vhd
@@ -0,0 +1,86 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc839.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s03b01x00p03n01i00839ent_a is
+end c01s03b01x00p03n01i00839ent_a;
+
+architecture c01s03b01x00p03n01i00839arch_a of c01s03b01x00p03n01i00839ent_a is
+begin
+ AC_BLK : block
+ signal B : BIT;
+ begin
+ B <= '1';
+ end block;
+end c01s03b01x00p03n01i00839arch_a;
+
+ENTITY c01s03b01x00p03n01i00839ent IS
+END c01s03b01x00p03n01i00839ent;
+
+ARCHITECTURE c01s03b01x00p03n01i00839arch OF c01s03b01x00p03n01i00839ent IS
+
+BEGIN
+
+ A_BLK : block
+ component C
+ end component;
+ begin
+ L1 : C;
+ L2 : C;
+ L3 : C;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***PASSED TEST: c01s03b01x00p03n01i00839"
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p03n01i00839arch;
+
+configuration c01s03b01x00p03n01i00839cfg of c01s03b01x00p03n01i00839ent is
+ --- Failure_here; Missing architecture name
+ for A_BLK
+ for L1 : C
+ use entity work.c01s03b01x00p03n01i00839ent_a (c01s03b01x00p03n01i00839arch_a) ;
+ end for;
+
+ for L2 : C
+ use entity work.c01s03b01x00p03n01i00839ent_a (c01s03b01x00p03n01i00839arch_a) ;
+ end for;
+
+ for L3 : C
+ use entity work.c01s03b01x00p03n01i00839ent_a (c01s03b01x00p03n01i00839arch_a) ;
+ end for;
+
+ end for;
+
+end c01s03b01x00p03n01i00839cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc841.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc841.vhd
new file mode 100644
index 000000000..d81760f0b
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc841.vhd
@@ -0,0 +1,83 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc841.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s03b01x00p04n01i00841ent_a is
+end c01s03b01x00p04n01i00841ent_a;
+
+architecture c01s03b01x00p04n01i00841arch_a of c01s03b01x00p04n01i00841ent_a is
+begin
+end c01s03b01x00p04n01i00841arch_a;
+
+ENTITY c01s03b01x00p04n01i00841ent IS
+ port (N : integer);
+END c01s03b01x00p04n01i00841ent;
+
+ARCHITECTURE c01s03b01x00p04n01i00841arch OF c01s03b01x00p04n01i00841ent IS
+
+BEGIN
+
+ AA_BLK : block
+ component FOUR
+ end component;
+ begin
+ LH : FOUR;
+ LR : FOUR;
+ aaa_blk: block
+ begin
+ end block;
+ L1: for I in 1 to 3 generate
+ end generate;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p04n01i00841 - Index specification is not locally static."
+ severity NOTE;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p04n01i00841arch;
+
+configuration c01s03b01x00p04n01i00841cfg of c01s03b01x00p04n01i00841ent is
+ for c01s03b01x00p04n01i00841arch
+ for AA_BLK
+ for LH, LR : FOUR
+ use entity work.c01s03b01x00p04n01i00841ent_a(c01s03b01x00p04n01i00841_arch_a);
+ end for;
+ for aaa_blk
+ end for;
+ for L1 (1 to N) --- No_failure_here
+ end for;
+ for L1 (3)
+ end for;
+ end for;
+ end for;
+end c01s03b01x00p04n01i00841cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc845.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc845.vhd
new file mode 100644
index 000000000..e5285dfcf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc845.vhd
@@ -0,0 +1,86 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc845.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s03b01x00p07n01i00845ent_a is
+end c01s03b01x00p07n01i00845ent_a;
+
+architecture c01s03b01x00p07n01i00845arch_a of c01s03b01x00p07n01i00845ent_a is
+begin
+ AC_BLK : block
+ signal B : BIT;
+ begin
+ B <= '1';
+ end block;
+end;
+
+ENTITY c01s03b01x00p07n01i00845ent IS
+END c01s03b01x00p07n01i00845ent;
+
+ARCHITECTURE c01s03b01x00p07n01i00845arch OF c01s03b01x00p07n01i00845ent IS
+
+BEGIN
+
+ A_BLK : block
+ component C
+ end component;
+ begin
+ L1 : C;
+ L2 : C;
+ L3 : C;
+ end block;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p07n01i00845 - Block configuration must be an architecture name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p07n01i00845arch;
+
+configuration c01s03b01x00p07n01i00845cfg of c01s03b01x00p07n01i00845ent is
+ for PQ -- Failure_here
+ for A_BLK
+ for L1 : C
+ use entity work.c01s03b01x00p07n01i00845ent_a (c01s03b01x00p07n01i00845arch_a) ;
+ end for;
+
+ for L2 : C
+ use entity work.c01s03b01x00p07n01i00845ent_a (c01s03b01x00p07n01i00845arch_a) ;
+ end for;
+
+ for L3 : C
+ use entity work.c01s03b01x00p07n01i00845ent_a (c01s03b01x00p07n01i00845arch_a) ;
+ end for;
+
+ end for;
+ end for ;
+end c01s03b01x00p07n01i00845cfg ;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc847.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc847.vhd
new file mode 100644
index 000000000..7c606b929
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc847.vhd
@@ -0,0 +1,82 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc847.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity and2g is
+end and2g;
+
+architecture behavior of and2g is
+begin
+end behavior;
+
+entity full_adder is
+end full_adder;
+
+architecture structural of full_adder is
+ component and2
+ end component;
+begin
+ C1: and2;
+end structural;
+
+ENTITY c01s03b01x00p08n01i00847ent IS
+END c01s03b01x00p08n01i00847ent;
+
+ARCHITECTURE c01s03b01x00p08n01i00847arch OF c01s03b01x00p08n01i00847ent IS
+
+ component adder
+ end component;
+
+BEGIN
+ A1 : adder;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p08n01i00847 - Architecture name in block configuration does not match block specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p08n01i00847arch;
+
+
+configuration c01s03b01x00p08n01i00847cfg of c01s03b01x00p08n01i00847ent is
+ for c01s03b01x00p08n01i00847arch
+ for A1: adder use -- component configuration
+ entity work.full_adder(structural);
+
+ for bad_block_spec -- failure_here
+ for C1: and2 use
+ entity work.and2g(behavior);
+ end for;
+ end for;
+ end for;
+ end for;
+end c01s03b01x00p08n01i00847cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc848.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc848.vhd
new file mode 100644
index 000000000..855a833ea
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc848.vhd
@@ -0,0 +1,73 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc848.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c01s03b01x00p09n01i00848ent IS
+ port ( PT : Boolean );
+END c01s03b01x00p09n01i00848ent;
+
+ARCHITECTURE c01s03b01x00p09n01i00848arch OF c01s03b01x00p09n01i00848ent IS
+
+BEGIN
+
+ BD : block
+ component comp1
+ end component ;
+ begin
+ CIS : comp1;
+ BD_nested : block
+ begin
+ process
+ begin
+ null;
+ wait;
+ End process;
+ end block;
+ end block BD ;
+
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c01s03b01x00p09n01i00848 - Invalid block specification."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c01s03b01x00p09n01i00848arch;
+
+configuration c01s03b01x00p09n01i00848cfg of c01s03b01x00p09n01i00848ent is
+ for c01s03b01x00p09n01i00848arch
+ for CIS -- Failure_here
+ -- ERROR: the CIS is not a declared block in the declarative region.
+ end for ;
+ for BD_nested -- failure_here
+ -- ERROR :: BD_nested is not a block label in the related declarative region.
+ end for;
+ end for;
+end c01s03b01x00p09n01i00848cfg;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc85.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc85.vhd
new file mode 100644
index 000000000..da662f9a6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc85.vhd
@@ -0,0 +1,45 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc85.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b01x03p04n01i00085ent IS
+END c04s03b01x03p04n01i00085ent;
+
+ARCHITECTURE c04s03b01x03p04n01i00085arch OF c04s03b01x03p04n01i00085ent IS
+BEGIN
+ TESTING: PROCESS
+ variable k : integer := true; --Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b01x03p04n01i00085 - Type mismatch in variable declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b01x03p04n01i00085arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc875.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc875.vhd
new file mode 100644
index 000000000..5c59700a0
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc875.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc875.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+entity c01s03b02x00p02n01i00875ent_a is
+ port ( ia, ib : bit;
+ oc, od : out bit) ;
+end c01s03b02x00p02n01i00875ent_a;
+
+architecture c01s03b02x00p02n01i00875arch_a of c01s03b02x00p02n01i00875ent_a is
+begin
+ A1_BLK : block
+ signal S : INTEGER;
+ begin
+ S <= 1;
+ end block;
+end c01s03b02x00p02n01i00875arch_a;
+
+ENTITY c01s03b02x00p02n01i00875ent IS
+ port ( P3 : out bit;
+ P4 : out bit) ;
+END c01s03b02x00p02n01i00875ent;
+
+ARCHITECTURE c01s03b02x00p02n01i00875arch OF c01s03b02x00p02n01i00875ent IS
+BEGIN
+ BB : block
+ signal S1 : bit;
+ signal S2 : bit;
+ component LOCAL port( CI, I2 : in BIT;
+ CO, RES :out BIT);
+ end component ;
+
+ for --- Failure_here
+ use entity work.c01s03b02x00p02n01i00875ent_a (c01s03b02x00p02n01i00875arch_a)
+ port map (ia => CI, ib => I2, oc => CO, od => RES);
+ begin
+ L : LOCAL port map (CI =>S1 , I2 =>S2 , CO=>P3 , RES =>P4 );
+ assert FALSE
+ report "***FAILED TEST: c01s03b02x00p02n01i00875 - Missing component specification."
+ severity ERROR;
+ end block BB;
+
+END c01s03b02x00p02n01i00875arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc89.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc89.vhd
new file mode 100644
index 000000000..bd77e40fd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc89.vhd
@@ -0,0 +1,47 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc89.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p09n01i00089ent IS
+END c04s03b02x00p09n01i00089ent;
+
+ARCHITECTURE c04s03b02x00p09n01i00089arch OF c04s03b02x00p09n01i00089ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type file_type is file of integer;
+ variable x : file_type ; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST:c04s03b02x00p09n01i00089 - A variable may not be declared as a file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+ ENDc04s03b02x00p09n01i00089arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc899.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc899.vhd
new file mode 100644
index 000000000..4c2b2482c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc899.vhd
@@ -0,0 +1,86 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc899.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s03b00x00p04n01i00899pkg_1 is
+ type T is (one,two,three,four);
+ subtype SS is INTEGER;
+ function F return REAL;
+end c10s03b00x00p04n01i00899pkg_1;
+
+package body c10s03b00x00p04n01i00899pkg_1 is
+ function F return REAL is
+ begin
+ return 0.0;
+ end F;
+end c10s03b00x00p04n01i00899pkg_1;
+
+package c10s03b00x00p04n01i00899pkg_2 is
+ type T is (one,two,three,four);
+ subtype SS is INTEGER;
+ function F return REAL;
+end c10s03b00x00p04n01i00899pkg_2;
+
+package body c10s03b00x00p04n01i00899pkg_2 is
+ function F return REAL is
+ begin
+ return 0.0;
+ end F;
+end c10s03b00x00p04n01i00899pkg_2;
+
+use work.c10s03b00x00p04n01i00899pkg_1.all,work.c10s03b00x00p04n01i00899_pkg_2.all;
+ENTITY c10s03b00x00p04n01i00899ent IS
+ port (P:BOOLEAN) ;
+
+ subtype S2 is SS; -- Failure_here
+ -- SEMANTIC ERROR: ambiguous reference to subtype SS
+
+ type R is range F to F; -- Failure_here
+ -- SEMANTIC ERROR: ambiguous reference to function F
+END c10s03b00x00p04n01i00899ent;
+
+ARCHITECTURE c10s03b00x00p04n01i00899arch OF c10s03b00x00p04n01i00899ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable V1 : T; -- Failure_here
+ -- SEMANTIC ERROR: ambiguous reference to type T
+
+ variable V2 : SS; -- Failure_here
+ -- SEMANTIC ERROR: ambiguous reference to subtype SS
+ BEGIN
+ V1 := one; -- Failure_here
+ -- SEMANTIC ERROR: ambiguous reference to literal "one"
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p04n01i00899 - Ambiguous references not permitted."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p04n01i00899arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc9.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc9.vhd
new file mode 100644
index 000000000..7912c2680
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc9.vhd
@@ -0,0 +1,58 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc9.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s01b00x00p08n01i00009ent IS
+END c04s01b00x00p08n01i00009ent;
+
+ARCHITECTURE c04s01b00x00p08n01i00009arch OF c04s01b00x00p08n01i00009ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE: BOOLEAN;
+ end record;
+ type R2 is record
+ RE: BOOLEAN;
+ end record;
+
+ variable V9: R1;
+ variable V10: R2;
+ BEGIN
+ if V9 = V10 then -- Failure_here
+ -- ERROR - SEMANTIC ERROR: OPERANDS OF = INCOMPATIBLE IN TYPE
+ null ;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c04s01b00x00p08n01i00009 - Types are different and hence incompatible."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s01b00x00p08n01i00009arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc901.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc901.vhd
new file mode 100644
index 000000000..97c31057c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc901.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc901.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00901ent IS
+ type AR is array (1 to 10) of AR; -- Failure_here
+ -- entity is not visible until end of declaration
+END c10s03b00x00p05n01i00901ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00901arch OF c10s03b00x00p05n01i00901ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00901 - Declaration is not visible until the end of the declaration.
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00901arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc903.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc903.vhd
new file mode 100644
index 000000000..347fd5d51
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc903.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc903.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00903ent IS
+ type R is record
+ A : R; -- Failure_here
+ -- entity is not visible until end of declaration
+ end record;
+END c10s03b00x00p05n01i00903ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00903arch OF c10s03b00x00p05n01i00903ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00903 - Declaration is not visible until the end of the declaration.
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00903arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc904.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc904.vhd
new file mode 100644
index 000000000..af96a4de6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc904.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc904.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00904ent IS
+ subtype Q is INTEGER range Q'(3) to Q'(7); -- Failure_here
+ -- entity is not visible until end of declaration
+END c10s03b00x00p05n01i00904ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00904arch OF c10s03b00x00p05n01i00904ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00904 - Declaration is not visible until the end of the declaration.
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00904arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc905.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc905.vhd
new file mode 100644
index 000000000..81f4a7097
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc905.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc905.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00905ent IS
+ constant C : INTEGER := C; -- Failure_here
+ -- entity is not visible until end of declaration
+END c10s03b00x00p05n01i00905ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00905arch OF c10s03b00x00p05n01i00905ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00905 - Declaration is not visible until the end of the declaration.
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00905arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc906.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc906.vhd
new file mode 100644
index 000000000..d7e5f85cd
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc906.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc906.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00906ent IS
+ function F(A : INTEGER := F(1)) return INTEGER is -- Failure_here
+ -- entity is not visible until after reserved word IS.
+ begin
+ return 5;
+ end F;
+END c10s03b00x00p05n01i00906ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00906arch OF c10s03b00x00p05n01i00906ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00906 - Declaration is not visible until the end of the declaration.
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00906arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc907.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc907.vhd
new file mode 100644
index 000000000..31459f17a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc907.vhd
@@ -0,0 +1,66 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc907.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s03b00x00p05n01i00907pkg is
+ function FA ( B : INTEGER ) return INTEGER;
+ function FB ( B : INTEGER ) return INTEGER;
+end c10s03b00x00p05n01i00907pkg;
+
+package body c10s03b00x00p05n01i00907pkg is
+ function FA ( B : INTEGER ) return INTEGER is
+ constant C : INTEGER := 6;
+ begin
+ return B;
+ end FA;
+
+ function FB ( B : INTEGER ) return INTEGER is
+ begin
+ return C; -- Failure_here
+ -- error: entity not within the region it is immediately declared
+ end FB;
+end c10s03b00x00p05n01i00907pkg;
+
+
+ENTITY c10s03b00x00p05n01i00907ent IS
+END c10s03b00x00p05n01i00907ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00907arch OF c10s03b00x00p05n01i00907ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00907 - Entity is not within the region it is immediately declared in."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00907arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc908.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc908.vhd
new file mode 100644
index 000000000..f4fb8b545
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc908.vhd
@@ -0,0 +1,68 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc908.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s03b00x00p05n01i00908pkg is
+ function FA ( B : INTEGER ) return INTEGER;
+ function FB ( B : INTEGER ) return INTEGER;
+end c10s03b00x00p05n01i00908pkg;
+
+package body c10s03b00x00p05n01i00908pkg is
+ function FA ( B : INTEGER ) return INTEGER is
+ constant C : INTEGER := 6;
+ begin
+ return B;
+ end FA;
+
+ function FB ( B : INTEGER ) return INTEGER is
+ begin
+ return C; -- Failure_here
+ -- error: entity not within the region it is immediately declared
+ end FB;
+end c10s03b00x00p05n01i00908pkg;
+
+use work.c10s03b00x00p05n01i00908pkg.all;
+ENTITY c10s03b00x00p05n01i00908ent IS
+END c10s03b00x00p05n01i00908ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00908arch OF c10s03b00x00p05n01i00908ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant D : integer := C; --Failure_here
+ --Entity not within the region it is immediately declared.
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00908 - Entity is not within the region it is immediately declared in."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00908arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc909.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc909.vhd
new file mode 100644
index 000000000..2d50624d3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc909.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc909.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00909ent IS
+END c10s03b00x00p05n01i00909ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00909arch OF c10s03b00x00p05n01i00909ent IS
+
+BEGIN
+ TESTING: PROCESS
+ variable QQ : INTEGER;
+ BEGIN
+ for I in 1 to 30 loop
+ null;
+ end loop;
+ QQ := I; -- Failure_here
+ -- error: entity not within the region it is immediately declared
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: c10s03b00x00p05n01i00909- Entity is not within the region it is immediately declared in."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00909arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc910.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc910.vhd
new file mode 100644
index 000000000..af66fa59d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc910.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc910.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s03b00x00p05n01i00910ent IS
+END c10s03b00x00p05n01i00910ent;
+
+ARCHITECTURE c10s03b00x00p05n01i00910arch OF c10s03b00x00p05n01i00910ent IS
+
+BEGIN
+
+ B2:block
+ type A is (A1, A2, A3);
+ signal S : A;
+ begin
+ S <= A1;
+ end block B2;
+
+ B3:block
+ signal S1 : A; -- Failure_here
+ -- error: entity not within the region it is immediately declared
+ begin
+ S1 <= A1; -- Failure_here
+ -- error: entity nor within the region it is immediately declated
+ end block B3;
+
+ TESTING: PROCESS
+ BEGIN
+ wait for 5 ns;
+ assert FALSE
+ report "***FAILED TEST: /c10s03b00x00p05n01i00910 - Entity is not within the region it is immediately declared in."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s03b00x00p05n01i00910arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc92.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc92.vhd
new file mode 100644
index 000000000..53eae3d0c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc92.vhd
@@ -0,0 +1,50 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc92.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p03n01i00092ent IS
+END c04s03b02x00p03n01i00092ent;
+
+ARCHITECTURE c04s03b02x00p03n01i00092arch OF c04s03b02x00p03n01i00092ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function exp_type_check (constant x : out integer := 3) -- Failure_here
+ return integer is
+ begin
+ return x;
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p03n01i00092- A constant of mode out cannot be declared in a constant interface declaration."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p03n01i00092arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc928.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc928.vhd
new file mode 100644
index 000000000..0bf0b12cf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc928.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc928.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s04b00x00p01n01i00928pkg is
+ -- It is OK to define a type that overrides the name of a library
+ type work is (foo, bar); -- No_failure_here
+end c10s04b00x00p01n01i00928pkg;
+
+use work.c10s04b00x00p01n01i00928pkg.all;
+ENTITY c10s04b00x00p01n01i00928ent IS
+ port (P : in bit);
+END c10s04b00x00p01n01i00928ent;
+
+ARCHITECTURE c10s04b00x00p01n01i00928arch OF c10s04b00x00p01n01i00928ent IS
+
+BEGIN
+ TESTING: PROCESS(P)
+ -- This is an error because the type work defined in work.c10s04b00x00p01n01i00928pkg is
+ -- NOT directly visible, it is overridden by library "work"
+ variable doit : work ; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c10s04b00x00p01n01i00928 - Type definition for 'work' does not exist in scope of declaration region for architecture 'blow2' of 'E'."
+ severity ERROR;
+ END PROCESS TESTING;
+
+END c10s04b00x00p01n01i00928arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc929.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc929.vhd
new file mode 100644
index 000000000..4b94975d3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc929.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc929.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s04b00x00p01n01i00929pkg is
+ type p2 is (a, b);
+end c10s04b00x00p01n01i00929pkg;
+
+use work.all;
+ENTITY c10s04b00x00p01n01i00929ent IS
+END c10s04b00x00p01n01i00929ent;
+
+ARCHITECTURE c10s04b00x00p01n01i00929arch OF c10s04b00x00p01n01i00929ent IS
+ signal s: p2; -- Failure_here
+ -- should report an error as the type p2 is not visible.
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c10s04b00x00p01n01i00929 - Type definition does not exist in scope of declaration region for architecture."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s04b00x00p01n01i00929arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc93.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc93.vhd
new file mode 100644
index 000000000..08dc14728
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc93.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc93.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p08n01i00093ent IS
+END c04s03b02x00p08n01i00093ent;
+
+ARCHITECTURE c04s03b02x00p08n01i00093arch OF c04s03b02x00p08n01i00093ent IS
+
+ procedure proc1 (x1 : integer; y1 :real; z1 : boolean) is
+ variable x12 : integer;
+ variable z12 : boolean;
+ begin
+ x12 := 12;
+ z12 := (x1 < 2);
+ z1 := z12;
+ y1 := y1 - 1.0;
+ x1 := x12;
+ end proc1;
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p08n01i00093 - Object of mode in may not be updated."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p08n01i00093arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc937.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc937.vhd
new file mode 100644
index 000000000..b78ee9b5d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc937.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc937.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s04b00x00p06n01i00937pkg_a is
+ type MC is (LOW,HIGH,RISING);
+end c10s04b00x00p06n01i00937pkg_a;
+
+package c10s04b00x00p06n01i00937pkg is
+ function MC return boolean;
+end c10s04b00x00p06n01i00937pkg;
+
+package body c10s04b00x00p06n01i00937pkg is
+ function MC return boolean is
+ begin
+ return false;
+ end;
+end c10s04b00x00p06n01i00937pkg;
+
+use work.c10s04b00x00p06n01i00937pkg_a.all,work.c10s04b00x00p06n01i00937pkg.all;
+ENTITY c10s04b00x00p06n01i00937ent IS
+END c10s04b00x00p06n01i00937ent;
+
+ARCHITECTURE c10s04b00x00p06n01i00937arch OF c10s04b00x00p06n01i00937ent IS
+
+BEGIN
+ TESTING : PROCESS
+ variable S1: MC; -- Failure_here.
+ BEGIN
+ S1 := Low;
+ assert FALSE
+ report "***FAILED TEST: c10s04b00x00p06n01i00937 - Ambiguity in usage of potentially visible declarations."
+ severity ERROR;
+ wait;
+ END PROCESS;
+
+END c10s04b00x00p06n01i00937arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc939.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc939.vhd
new file mode 100644
index 000000000..9166dadf7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc939.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc939.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c10s05b00x00p01n01i00939ent IS
+ port (PT:BOOLEAN) ;
+
+ type BITT is ('0','1');
+
+ type DBIT is ('0','1','x'); -- '0' and '1' are overloaded
+
+ attribute AT1 : BITT;
+
+ attribute AT1 : DBIT; -- Failure_here
+ -- ERROR : Attribute AT1 is overloaded.
+
+ attribute AT1 : INTEGER; -- Failure_here
+ -- ERROR : Attribute AT1 is overloaded.
+END c10s05b00x00p01n01i00939ent;
+
+ARCHITECTURE c10s05b00x00p01n01i00939arch OF c10s05b00x00p01n01i00939ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+
+ assert FALSE
+ report "***FAILED TEST: c10s05b00x00p01n01i00939 - Attributes cannot be overloaded."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s05b00x00p01n01i00939arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc94.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc94.vhd
new file mode 100644
index 000000000..cc7f9d254
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc94.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc94.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x00p09n01i00094pkg is
+ type FT is file of integer;
+end c04s03b02x00p09n01i00094pkg;
+
+use work.c04s03b02x00p09n01i00094pkg.all;
+ENTITY c04s03b02x00p09n01i00094ent IS
+ generic ( A1 : FT );
+END c04s03b02x00p09n01i00094ent;
+
+ARCHITECTURE c04s03b02x00p09n01i00094arch OF c04s03b02x00p09n01i00094ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p09n01i00094 - The subtype indication for an interface constant or signal declaration can not be of file type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p09n01i00094arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc941.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc941.vhd
new file mode 100644
index 000000000..446406bc7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc941.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc941.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c10s05b00x00p03n02i00941pkg1 is
+ type COLOR is (RED,YELLOW,GREEN,BROWN,TAN,WHITE,BLUE);
+end c10s05b00x00p03n02i00941pkg1;
+
+package c10s05b00x00p03n02i00941pkg2 is
+ type LIGHTS is (RED,YELLOW,GREEN,BROWN,TAN,WHITE,BLUE);
+end c10s05b00x00p03n02i00941pkg2;
+
+use work.c10s05b00x00p03n02i00941pkg1.all, work c10s05b00x00p03n02i00941pkg2.all;
+ENTITY c10s05b00x00p03n02i00941ent IS
+END c10s05b00x00p03n02i00941ent;
+
+ARCHITECTURE c10s05b00x00p03n02i00941arch OF c10s05b00x00p03n02i00941ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ if RED > BLUE then -- Failure_here
+ --ERROR: type cannot be determined from context
+ else
+ case TRUE is
+ when (TAN = TAN) => null; -- Failure_here
+ --ERROR: type cannot be determined from context
+ when others => null; -- Failure_here
+ end case;
+ end if;
+ assert FALSE
+ report "***FAILED TEST: c10s05b00x00p03n02i00941 - Multiple interpretations of constituents of the innermost complete context are not allowed."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c10s05b00x00p03n02i00941arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc944.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc944.vhd
new file mode 100644
index 000000000..db4b36a21
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc944.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc944.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p09n01i00944ent IS
+END c06s01b00x00p09n01i00944ent;
+
+ARCHITECTURE c06s01b00x00p09n01i00944arch OF c06s01b00x00p09n01i00944ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant T: time := 'a'.foo;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p09n01i00944 - Prefix can only be a name or a function_call."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p09n01i00944arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc946.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc946.vhd
new file mode 100644
index 000000000..0c446ae8c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc946.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc946.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p10n01i00946ent IS
+END c06s01b00x00p10n01i00946ent;
+
+ARCHITECTURE c06s01b00x00p10n01i00946arch OF c06s01b00x00p10n01i00946ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (RE1=>TRUE).RE1;
+ -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p10n01i00946 - Prefix of a selected name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p10n01i00946arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc947.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc947.vhd
new file mode 100644
index 000000000..1ba2e721c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc947.vhd
@@ -0,0 +1,51 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc947.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p10n01i00947ent IS
+END c06s01b00x00p10n01i00947ent;
+
+ARCHITECTURE c06s01b00x00p10n01i00947arch OF c06s01b00x00p10n01i00947ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := R1'(RE1=>TRUE).RE1;
+ -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p10n01i00947 - Prefix of a selected name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p10n01i00947arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc948.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc948.vhd
new file mode 100644
index 000000000..8283b138e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc948.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc948.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p10n01i00948ent IS
+END c06s01b00x00p10n01i00948ent;
+
+ARCHITECTURE c06s01b00x00p10n01i00948arch OF c06s01b00x00p10n01i00948ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: R1;
+ end record;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := R2'(RE2=>R1'(RE1=>TRUE)).RE2.RE1;
+ -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p10n01i00948 - Prefix of a selected name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p10n01i00948arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc949.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc949.vhd
new file mode 100644
index 000000000..90e0cd79e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc949.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc949.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p10n01i00949ent IS
+END c06s01b00x00p10n01i00949ent;
+
+ARCHITECTURE c06s01b00x00p10n01i00949arch OF c06s01b00x00p10n01i00949ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 2) of BOOLEAN;
+ type R3 is record
+ RE3: A1;
+ end record;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1);
+ -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p10n01i00949 - Prefix of a selected name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p10n01i00949arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc95.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc95.vhd
new file mode 100644
index 000000000..8ad8b15b5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc95.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc95.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c04s03b02x00p09n01i00095pkg is
+ type rec is record
+ ele1: integer;
+ ele2: integer;
+ end record;
+ type at is access rec;
+end c04s03b02x00p09n01i00095pkg;
+
+use work.c04s03b02x00p09n01i00095pkg.all;
+ENTITY c04s03b02x00p09n01i00095ent IS
+ generic ( A2 : at );
+END c04s03b02x00p09n01i00095ent;
+
+ARCHITECTURE c04s03b02x00p09n01i00095arch OF c04s03b02x00p09n01i00095ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p09n01i00095 - The subtype indication for an interface constant or signal declaration can not be of access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p09n01i00095arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc950.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc950.vhd
new file mode 100644
index 000000000..7f1ca5b0f
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc950.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc950.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p10n01i00950ent IS
+END c06s01b00x00p10n01i00950ent;
+
+ARCHITECTURE c06s01b00x00p10n01i00950arch OF c06s01b00x00p10n01i00950ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (1 to 2) of BOOLEAN;
+ type R3 is record
+ RE3: A1;
+ end record;
+ variable V1: BOOLEAN;
+ BEGIN
+ V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1);
+ -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p10n01i00950 - Prefix of a selected name cannot be an aggregate."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p10n01i00950arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc957.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc957.vhd
new file mode 100644
index 000000000..389486eed
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc957.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc957.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s01b00x00p11n01i00957ent IS
+END c06s01b00x00p11n01i00957ent;
+
+ARCHITECTURE c06s01b00x00p11n01i00957arch OF c06s01b00x00p11n01i00957ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type z is
+ record
+ y : integer;
+ p,q : boolean;
+ end record;
+ type ptrtype is access z;
+ procedure P ( x : out Ptrtype) is
+ begin
+ x.y := 1; -- The prefix is of access type of which
+ -- denotes a formal parameter of mode
+ end;
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s01b00x00p11n01i00957 - Prefix of a name cannot be a formal parameter of mode out if the prefix is an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s01b00x00p11n01i00957arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc959.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc959.vhd
new file mode 100644
index 000000000..968c45459
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc959.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc959.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p02n01i00959ent IS
+END c06s03b00x00p02n01i00959ent;
+
+ARCHITECTURE c06s03b00x00p02n01i00959arch OF c06s03b00x00p02n01i00959ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T1 is record
+ S1 : Bit ;
+ S2 : Integer;
+ end record;
+ type T2 is record
+ S11 : BIT ;
+ S12 : T1 ;
+ end record;
+ variable V1 : T2 ;
+ BEGIN
+ V1.S12S2 := 10 ; -- Failure_here
+ wait for 100 ns;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p02n01i00959 - Missing dot."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p02n01i00959arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc96.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc96.vhd
new file mode 100644
index 000000000..0059c140c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc96.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc96.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p12n02i00096ent IS
+ generic ( constant c1 : in integer := true );-- Failure_here
+END c04s03b02x00p12n02i00096ent;
+
+ARCHITECTURE c04s03b02x00p12n02i00096arch OF c04s03b02x00p12n02i00096ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p12n02i00096 - The type of the default object is not the same as the corresponding interface element."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p12n02i00096arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc960.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc960.vhd
new file mode 100644
index 000000000..6d2284d83
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc960.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc960.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p02n01i00960ent IS
+END c06s03b00x00p02n01i00960ent;
+
+ARCHITECTURE c06s03b00x00p02n01i00960arch OF c06s03b00x00p02n01i00960ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T1 is record
+ S1 : Bit ;
+ S2 : Integer;
+ end record;
+ type T2 is record
+ S11 : BIT ;
+ S12 : T1 ;
+ end record;
+ variable V1 : T2 ;
+ BEGIN
+ V1.S2 := 10 ; -- Failure_here
+ wait for 100 ns;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p02n01i00960 - Missing prefix."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p02n01i00960arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc961.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc961.vhd
new file mode 100644
index 000000000..1db40b5fc
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc961.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc961.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p02n01i00961ent IS
+END c06s03b00x00p02n01i00961ent;
+
+ARCHITECTURE c06s03b00x00p02n01i00961arch OF c06s03b00x00p02n01i00961ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T1 is record
+ S1 : Bit ;
+ S2 : Integer;
+ end record;
+ type T2 is record
+ S11 : BIT ;
+ S12 : T1 ;
+ end record;
+ variable V1 : T2 ;
+ BEGIN
+ V1.S12 := 10 ; -- Failure_here
+ wait for 100 ns;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p02n01i00961 - Missing suffix."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p02n01i00961arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc963.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc963.vhd
new file mode 100644
index 000000000..4517065af
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc963.vhd
@@ -0,0 +1,46 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc963.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p04n01i00963ent IS
+END c06s03b00x00p04n01i00963ent;
+
+ARCHITECTURE c06s03b00x00p04n01i00963arch OF c06s03b00x00p04n01i00963ent IS
+
+BEGIN
+ TESTING: PROCESS
+ constant T: time := boolean.foo; -- Failure_here
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p04n01i00963 - Selected name doesn't denote an entity."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p04n01i00963arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc967.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc967.vhd
new file mode 100644
index 000000000..a01cf97b6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc967.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc967.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00967ent IS
+END c06s03b00x00p05n01i00967ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00967arch OF c06s03b00x00p05n01i00967ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type rec_type is
+ record
+ x : bit;
+ y : integer;
+ z : boolean;
+ end record;
+ variable S1, S2 :rec_type;
+ variable h :bit;
+ BEGIN
+ S1.h := '1' ; -- h is not a field of the record.
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00967 - Suffix should denote an element of a record object or value."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00967arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc97.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc97.vhd
new file mode 100644
index 000000000..2e3ec56e4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc97.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc97.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c04s03b02x00p29n02i00097ent IS
+END c04s03b02x00p29n02i00097ent;
+
+ARCHITECTURE c04s03b02x00p29n02i00097arch OF c04s03b02x00p29n02i00097ent IS
+ signal P1 : BIT := '1' ;
+ signal P2 : BIT;
+BEGIN
+ TESTING: PROCESS
+ procedure read_write(signal S1 : in BIT; signal S2 : out BIT) is
+ begin
+ if (S1 = '1' and not S1'STABLE) then
+ S2 <= '1' after 10 ns;
+ end if;
+ end;
+ BEGIN
+ read_write(P1, P2);
+ assert FALSE
+ report "***FAILED TEST: c04s03b02x00p29n02i00097 - Attribute STABLE can not be read."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c04s03b02x00p29n02i00097arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc970.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc970.vhd
new file mode 100644
index 000000000..18ad860bf
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc970.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc970.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00970ent IS
+END c06s03b00x00p05n01i00970ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00970arch OF c06s03b00x00p05n01i00970ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type x is
+ record
+ y : integer;
+ z : boolean;
+ end record;
+ type a is
+ record
+ b : real;
+ c : integer;
+ end record;
+ variable r : a;
+ variable p : x;
+ BEGIN
+ p.b := 1; -- the prefix is not of an appropriate type as the 'p' does
+ a.y := 1; -- not have field 'b' and 'a' does not have field 'y'.
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00970 - Prefix is not apropraite for the type of the suffix."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00970arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc971.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc971.vhd
new file mode 100644
index 000000000..b1c2de91a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc971.vhd
@@ -0,0 +1,69 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc971.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00971ent IS
+END c06s03b00x00p05n01i00971ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00971arch OF c06s03b00x00p05n01i00971ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ RE2: INTEGER;
+ RE3: BIT;
+ RE4: SEVERITY_LEVEL;
+ RE5: REAL;
+ RE6: CHARACTER;
+ RE7: TIME;
+ end record;
+ variable V1: BOOLEAN;
+ variable V2: INTEGER;
+ variable V3: BIT;
+ variable V4: SEVERITY_LEVEL;
+ variable V5: REAL;
+ variable V6: CHARACTER;
+ variable V7: TIME;
+ BEGIN
+ V1 := RE1;
+ V2 := RE2;
+ V3 := RE3;
+ V4 := RE4;
+ V5 := RE5;
+ V6 := RE6;
+ V7 := RE7;
+ -- ERROR: RECORD ELEMENT NAME CANNOT BE USED BY ITSELF
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00971 - Record element name cannot be used by itself as an expression."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00971arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc972.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc972.vhd
new file mode 100644
index 000000000..06c2ea3c3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc972.vhd
@@ -0,0 +1,63 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc972.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00972ent IS
+END c06s03b00x00p05n01i00972ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00972arch OF c06s03b00x00p05n01i00972ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ RE2: INTEGER;
+ RE3: BIT;
+ RE4: SEVERITY_LEVEL;
+ RE5: REAL;
+ RE6: CHARACTER;
+ RE7: TIME;
+ end record;
+ variable V2 : R1;
+ BEGIN
+ V2.RE1 := RE1;
+ V2.RE2 := RE2;
+ V2.RE3 := RE3;
+ V2.RE4 := RE4;
+ V2.RE5 := RE5;
+ V2.RE6 := RE6;
+ V2.RE7 := RE7;
+ -- ERROR: RECORD ELEMENT NAME CANNOT BE USED BY ITSELF
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00972 - Record element name cannot be used by itself."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00972arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc974.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc974.vhd
new file mode 100644
index 000000000..b0da0d917
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc974.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc974.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00974ent IS
+END c06s03b00x00p05n01i00974ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00974arch OF c06s03b00x00p05n01i00974ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+
+ variable V1: R1 ;
+ variable V10: BOOLEAN;
+
+ BEGIN
+ V10 := V1.BOOLEAN;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00974 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00974arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc975.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc975.vhd
new file mode 100644
index 000000000..949b75ca4
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc975.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc975.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00975ent IS
+END c06s03b00x00p05n01i00975ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00975arch OF c06s03b00x00p05n01i00975ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+ type ONE is range 1 to 1;
+ type A1 is array (ONE) of BOOLEAN;
+
+ variable V1: R1 ;
+ variable V2: R2 ;
+ variable V5: A1 ;
+ variable V10: BOOLEAN;
+ BEGIN
+ V10 := V5.ONE;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00975 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00975arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc976.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc976.vhd
new file mode 100644
index 000000000..e32bbe65e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc976.vhd
@@ -0,0 +1,54 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc976.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00976ent IS
+END c06s03b00x00p05n01i00976ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00976arch OF c06s03b00x00p05n01i00976ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+
+ variable V1: R1 ;
+ constant V3: BOOLEAN := TRUE;
+ variable V10: BOOLEAN;
+ BEGIN
+ V10 := V1.V3;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00976 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00976arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc977.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc977.vhd
new file mode 100644
index 000000000..c4f2bb0d7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc977.vhd
@@ -0,0 +1,59 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc977.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00977ent IS
+END c06s03b00x00p05n01i00977ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00977arch OF c06s03b00x00p05n01i00977ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+ type ONE is range 1 to 1;
+
+ variable V1: R1 ;
+ variable V2: R2 ;
+ variable V10: BOOLEAN;
+
+ BEGIN
+ V10 := V1.RE2;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00977 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00977arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc978.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc978.vhd
new file mode 100644
index 000000000..f24c00efe
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc978.vhd
@@ -0,0 +1,57 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc978.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00978ent IS
+END c06s03b00x00p05n01i00978ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00978arch OF c06s03b00x00p05n01i00978ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+
+ variable V2: R2 ;
+ variable V10: BOOLEAN;
+
+ BEGIN
+ V10 := V2.RE1;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00978 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00978arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc979.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc979.vhd
new file mode 100644
index 000000000..24a012349
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc979.vhd
@@ -0,0 +1,62 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc979.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00979ent IS
+END c06s03b00x00p05n01i00979ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00979arch OF c06s03b00x00p05n01i00979ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+
+ function F1 return R1 is
+ begin
+ return (RE1=>TRUE);
+ end F1;
+
+ variable V1: R1 ;
+ variable V2: R2 ;
+ variable V10: BOOLEAN;
+ BEGIN
+ V10 := F1.RE2;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00979 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00979arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc980.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc980.vhd
new file mode 100644
index 000000000..8c26da16d
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc980.vhd
@@ -0,0 +1,61 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc980.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00980ent IS
+END c06s03b00x00p05n01i00980ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00980arch OF c06s03b00x00p05n01i00980ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+ function F2 return R2 is
+ begin
+ return (RE2=>TRUE);
+ end F2;
+
+ variable V1: R1 ;
+ variable V10: BOOLEAN;
+
+ BEGIN
+ V10 := F2.RE1;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00980 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00980arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc981.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc981.vhd
new file mode 100644
index 000000000..c619b6fad
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc981.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc981.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00981ent IS
+END c06s03b00x00p05n01i00981ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00981arch OF c06s03b00x00p05n01i00981ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type R1 is record
+ RE1: BOOLEAN;
+ end record;
+ type R2 is record
+ RE2: BOOLEAN;
+ end record;
+
+ variable V1: R1 ;
+ variable V10: BOOLEAN;
+ BEGIN
+ V10 := V1.TRUE;
+ -- SEMANTIC ERROR: NO SUCH RECORD ELEMENT;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00981 - Illegal record element name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00981arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc982.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc982.vhd
new file mode 100644
index 000000000..769333077
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc982.vhd
@@ -0,0 +1,60 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc982.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p05n01i00982ent IS
+ port (signal a,b : in integer; c,d : out integer);
+END c06s03b00x00p05n01i00982ent;
+
+ARCHITECTURE c06s03b00x00p05n01i00982arch OF c06s03b00x00p05n01i00982ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type some_record is
+ record
+ x1,x2,x3,x4,x5,x6,x7,x8 : integer;
+ y : boolean;
+ end record;
+
+ variable rec1,rec2,rec3 : some_record;
+ BEGIN
+ rec1.x5 := 5;
+ rec1.x7 := a;
+ rec1.y := true;
+
+ WAIT for 1 ns;
+ rec2 := rec1.all;
+ WAIT for 1 ns;
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p05n01i00982 - Illegal record selected name."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p05n01i00982arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc984.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc984.vhd
new file mode 100644
index 000000000..56a245b62
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc984.vhd
@@ -0,0 +1,56 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc984.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p06n01i00984ent IS
+END c06s03b00x00p06n01i00984ent;
+
+ARCHITECTURE c06s03b00x00p06n01i00984arch OF c06s03b00x00p06n01i00984ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type some_record is
+ record
+ x : integer;
+ y : boolean;
+ end record;
+
+ type some_ptr is access some_record;
+
+ variable some_var : some_ptr;
+ variable some_rec : some_record;
+ BEGIN
+ some_rec := some_var; -- should be some_rec := some_var.all
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p06n01i00984 - Suffix of a selected name must be the reserved word all."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p06n01i00984arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc985.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc985.vhd
new file mode 100644
index 000000000..e7385c8a5
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc985.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc985.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p06n01i00985ent IS
+END c06s03b00x00p06n01i00985ent;
+
+ARCHITECTURE c06s03b00x00p06n01i00985arch OF c06s03b00x00p06n01i00985ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type T is
+ record
+ a:integer;
+ b:integer;
+ end record;
+ type A is access T;
+ variable B1, B2: A := new T'(0, 0);
+ variable C : T;
+ BEGIN
+ C := B1.all;
+ B1.all := C.all; -- C.all is illegal
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p06n01i00985 - Prefix of a selected name used to denote an object designated by an access value should be an access type."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p06n01i00985arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc989.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc989.vhd
new file mode 100644
index 000000000..f2af0af9c
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc989.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc989.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p07n02i00989pkg is
+ function prefix_check return string;
+end c06s03b00x00p07n02i00989pkg;
+
+package body c06s03b00x00p07n02i00989pkg is
+ use prefix_check.all; -- not allowed.
+end c06s03b00x00p07n02i00989pkg;
+
+ENTITY c06s03b00x00p07n02i00989ent IS
+END c06s03b00x00p07n02i00989ent;
+
+ARCHITECTURE c06s03b00x00p07n02i00989arch OF c06s03b00x00p07n02i00989ent IS
+
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p07n02i00989 - Prefix of an expanded name may not be a function call.(Expanded name used in use clause)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p07n02i00989arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc990.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc990.vhd
new file mode 100644
index 000000000..8bc0e2721
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc990.vhd
@@ -0,0 +1,53 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc990.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p07n02i00990ent IS
+END c06s03b00x00p07n02i00990ent;
+
+ARCHITECTURE c06s03b00x00p07n02i00990arch OF c06s03b00x00p07n02i00990ent IS
+
+BEGIN
+ TESTING: PROCESS
+ function F return BOOLEAN is
+ begin
+ return TRUE;
+ end F;
+ variable B1 : BOOLEAN;
+ variable V1 : BOOLEAN;
+ BEGIN
+ V1 := F.B1; -- ERROR: the prefix of an expanded name
+ -- cannot be a function call.
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p07n02i00990 - The prefix of an expanded name cannot be a function call.(Expanded name used as expression)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p07n02i00990arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc991.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc991.vhd
new file mode 100644
index 000000000..0aa8663f1
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc991.vhd
@@ -0,0 +1,55 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc991.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p07n02i00991ent IS
+END c06s03b00x00p07n02i00991ent;
+
+ARCHITECTURE c06s03b00x00p07n02i00991arch OF c06s03b00x00p07n02i00991ent IS
+
+BEGIN
+ TESTING: PROCESS
+ type A1 is array (BOOLEAN) of BOOLEAN;
+ function F return BOOLEAN is
+ begin
+ return TRUE;
+ end F;
+ variable B1 : BOOLEAN;
+ variable V1 : BOOLEAN;
+ variable V2 : A1 ;
+ BEGIN
+ V2 := V2(F.B1); -- ERROR: the prefix of an expanded name
+ -- cannot be a functon call.
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p07n02i00991 - The prefix of an expanded name cannot be a function call.(Expanded name used as array index)"
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p07n02i00991arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc994.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc994.vhd
new file mode 100644
index 000000000..8ffe79ce6
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc994.vhd
@@ -0,0 +1,48 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc994.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+ENTITY c06s03b00x00p08n03i00994ent IS
+END c06s03b00x00p08n03i00994ent;
+architecture a19a of c06s03b00x00p08n03i00994ent is
+begin
+end;
+
+ARCHITECTURE c06s03b00x00p08n03i00994arch OF c06s03b00x00p08n03i00994ent IS
+ use work.a19a; --illegal
+BEGIN
+ TESTING: PROCESS
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p08n03i00994 - Expanded name is not allowed for an architectural body."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p08n03i00994arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc997.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc997.vhd
new file mode 100644
index 000000000..c56eb8fc3
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc997.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc997.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i00997pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i00997pkg;
+
+use work.c06s03b00x00p09n01i00997pkg.all;
+ENTITY c06s03b00x00p09n01i00997ent IS
+END c06s03b00x00p09n01i00997ent;
+
+ARCHITECTURE c06s03b00x00p09n01i00997arch OF c06s03b00x00p09n01i00997ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST1 is Q.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i00997 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i00997arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc998.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc998.vhd
new file mode 100644
index 000000000..e7c410355
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc998.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc998.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i00998pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i00998pkg;
+
+use work.c06s03b00x00p09n01i00998pkg.all;
+ENTITY c06s03b00x00p09n01i00998ent IS
+END c06s03b00x00p09n01i00998ent;
+
+ARCHITECTURE c06s03b00x00p09n01i00998arch OF c06s03b00x00p09n01i00998ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST2 is c06s03b00x00p09n01i00998ent.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i00998 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i00998arch;
diff --git a/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc999.vhd b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc999.vhd
new file mode 100644
index 000000000..11aa5ace7
--- /dev/null
+++ b/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc999.vhd
@@ -0,0 +1,52 @@
+
+-- Copyright (C) 2001 Bill Billowitch.
+
+-- Some of the work to develop this test suite was done with Air Force
+-- support. The Air Force and Bill Billowitch assume no
+-- responsibilities for this software.
+
+-- This file is part of VESTs (Vhdl tESTs).
+
+-- VESTs is free software; you can redistribute it and/or modify it
+-- under the terms of the GNU General Public License as published by the
+-- Free Software Foundation; either version 2 of the License, or (at
+-- your option) any later version.
+
+-- VESTs is distributed in the hope that it will be useful, but WITHOUT
+-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
+-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for more details.
+
+-- You should have received a copy of the GNU General Public License
+-- along with VESTs; if not, write to the Free Software Foundation,
+-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-- ---------------------------------------------------------------------
+--
+-- $Id: tc999.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
+-- $Revision: 1.2 $
+--
+-- ---------------------------------------------------------------------
+
+package c06s03b00x00p09n01i00999pkg is
+ type TWO is range 1 to 2;
+end c06s03b00x00p09n01i00999pkg;
+
+use work.c06s03b00x00p09n01i00999pkg.all;
+ENTITY c06s03b00x00p09n01i00999ent IS
+END c06s03b00x00p09n01i00999ent;
+
+ARCHITECTURE c06s03b00x00p09n01i00999arch OF c06s03b00x00p09n01i00999ent IS
+
+BEGIN
+ TESTING: PROCESS
+ subtype ST3 is c06s03b00x00p09n01i00999pkg.c06s03b00x00p09n01i00999ent.TWO (1 to 1);
+ -- SEMANTIC ERROR: ILLEGAL EXPANDED NAME
+ BEGIN
+ assert FALSE
+ report "***FAILED TEST: c06s03b00x00p09n01i00999 - Expanded name is illegal."
+ severity ERROR;
+ wait;
+ END PROCESS TESTING;
+
+END c06s03b00x00p09n01i00999arch;