aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-08-07 07:33:16 +0200
committerTristan Gingold <tgingold@free.fr>2022-08-07 10:00:13 +0200
commitef5e4cf601e9a63464b7f3438a11287c7565e785 (patch)
tree1d6f8ca076057a67b9b51e78902eebaee5de75db /testsuite
parent0a52b0a867c25acdaac1591d4e269d5823d2c972 (diff)
downloadghdl-ef5e4cf601e9a63464b7f3438a11287c7565e785.tar.gz
ghdl-ef5e4cf601e9a63464b7f3438a11287c7565e785.tar.bz2
ghdl-ef5e4cf601e9a63464b7f3438a11287c7565e785.zip
testsuite/gna: add a test for #2164
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue2164/e.vhdl14
-rwxr-xr-xtestsuite/gna/issue2164/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue2164/e.vhdl b/testsuite/gna/issue2164/e.vhdl
new file mode 100644
index 000000000..c03fa203e
--- /dev/null
+++ b/testsuite/gna/issue2164/e.vhdl
@@ -0,0 +1,14 @@
+package p is
+ function f(arg : integer := integer'(1)) return boolean;
+end;
+
+package body p is
+ function f(arg : integer := integer'(1)) return boolean
+ is
+ begin
+ return false;
+ end;
+end;
+
+entity e is end;
+architecture a of e is begin end;
diff --git a/testsuite/gna/issue2164/testsuite.sh b/testsuite/gna/issue2164/testsuite.sh
new file mode 100755
index 000000000..8799a9d28
--- /dev/null
+++ b/testsuite/gna/issue2164/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze e.vhdl
+
+clean
+
+echo "Test successful"